Showing
10, 25, 50, 100, 500, 1000, all papers per page.
Sort by:
last publication date,
older publication date,
last update date.
- 1. Appl. Phys. Express 3, 051002 (2010) , “Nitrogen Related Electron Trap with High Capture Cross Section in n-Type GaAsN Grown by Chemical Beam Epitaxy”, Boussairi Bouzazi, Hidetoshi Suzuki, Nobuaki Kojima, Yoshio Ohshita, and Masafumi YamaguchiA nitrogen-related electron trap, at around 0.3 eV from the conduction band minimum of n-type GaAsN grown by chemical beam epitaxy, is confirmed using deep level transient spectroscopy and nitrogen concentration dependence of its density. It has a high capture cross section and not observed in N... (Read more)
- 2. Appl. Phys. Express 3, 031103 (2010) , “High-Quality p-Type ZnO Films Grown by Co-Doping of N and Te on Zn-Face ZnO Substrates”, Seunghwan Park, Tsutomu Minegishi, Dongcheol Oh, Hyunjae Lee, Toshinori Taishi, Jinsub Park, Mina Jung, Jiho Chang, Inho Im, Junseok Ha, Soonku Hong, Ichiro Yonenaga, Toyohiro Chikyow, and Takafumi YaoThis article will report the epitaxial growth of high-quality p-type ZnO layers on Zn-face ZnO substrates by nitrogen and tellurium (N+Te) co-doping. ZnO:[N+Te] films show p-type conductivity with a hole concentration of 4×1016 cm-3, while ZnO:N shows n-type... (Read more)
- 3. Jpn. J. Appl. Phys. 49, 071302 (2010) , “Deep-Level Transient Spectroscopy and Photoluminescence Studies of Formation and Depth Profiles of Copper Centers in Silicon Crystals Diffused with Dilute Copper”, Minoru Nakamura and Susumu MurakamiWe have observed the formation of the Cu centers in p-type Si crystals diffused with dilute Cu between 400 and 1000 °C by deep-level transient spectroscopy (DLTS) and photoluminescence methods. For the samples diffused below 800 °C, a DLTS Cu center denoted as the CuDLB center was... (Read more)
- 4. Jpn. J. Appl. Phys. 49, 05FE02 (2010) , “Photoinduced Leakage Currents in Silicon Carbon Nitride Dielectrics for Copper Diffusion Barriers”, Kiyoteru Kobayashi and Taketoshi IdeThe current conduction in silicon carbon nitride (SiCN) dielectric films subjected to ultraviolet (UV) illumination at room temperature has been investigated. After exposure of SiCN single-layer and SiCN–SiO2 double-layer films to 4.9-eV UV illumination, leakage currents through... (Read more)
- 5. Jpn. J. Appl. Phys. 49, 051001 (2010) , “Nitrogen-Related Recombination Center in GaAsN Grown by Chemical Beam Epitaxy”, Boussairi Bouzazi, Hidetoshi Suzuki, Nobuaki Kojima, Yoshio Ohshita, and Masafumi YamaguchiA nitrogen-related deep electron trap, at approximately 0.33 eV below the conduction band minimum of GaAsN grown by chemical beam epitaxy, is confirmed to act as a recombination center. The level is found to be responsible for the reverse bias current in the depletion region of n-type GaAsN schottky... (Read more)
- 6. Appl. Phys. Express 2, 091101 (2009) , “Elimination of the Major Deep Levels in n- and p-Type 4H-SiC by Two-Step Thermal Treatment”, Toru Hiyoshi and Tsunenobu KimotoBy thermal oxidation of 4H-SiC at 1150–1300 °C, the Z1/2 and EH6/7 concentrations can be reduced to below 1×1011 cm-3. By the oxidation, however, a high concentration of HK0 center (EV + 0.78 eV) is generated.... (Read more)
- 7. Appl. Phys. Express 2, 041101 (2009) , “Reduction of Deep Levels and Improvement of Carrier Lifetime in n-Type 4H-SiC by Thermal Oxidation”, Toru Hiyoshi and Tsunenobu KimotoSignificant reduction of major deep levels in n-type 4H-SiC(0001) epilayers by means of thermal oxidation is demonstrated. By thermal oxidation of epilayers at 1150–1300 °C, the concentration of the Z1/2 and EH6/7 centers has been reduced from... (Read more)
- 8. Appl. Phys. Lett. 94, 092105 (2009) , “Interaction of oxygen with thermally induced vacancies in Czochralski silicon”, V. Akhmetov, G. Kissinger, and W. von AmmonComplexes consisting of a vacancy and four oxygen atoms, VO4, were found in oxygen-rich Czochralski silicon wafers subjected to rapid thermal annealing (RTA) at 1250 °C for 30 s in Ar/O2 atmosphere by means of Fourier transform infrared spectroscopy with enhanced... (Read more)
- 9. Appl. Phys. Lett. 94, 091903 (2009) , “Photoluminescence studies of impurity transitions in Mg-doped AlGaN alloys”, M. L. Nakarmi, N. Nepal, J. Y. Lin, and H. X. JiangDeep ultraviolet photoluminescence spectroscopy was employed to study the impurity transitions in Mg-doped AlGaN alloys. A group of deep level impurity transitions was observed in Mg-doped AlxGa1−xN alloys, which was identified to have the same origin as the... (Read more)
- 10. Appl. Phys. Lett. 94, 061910 (2009) , “Defect formation and annealing behaviors of fluorine-implanted GaN layers revealed by positron annihilation spectroscopy”, M. J. Wang, L. Yuan, C. C. Cheng, C. D. Beling, and K. J. ChenDefect formation and annealing behaviors of fluorine-implanted, unintentionally doped GaN layers were studied by positron annihilation spectroscopy (PAS). Single Ga vacancies (VGa) were identified as the main vacancy-type defects detected by PAS after fluorine implantation at 180... (Read more)
- 11. J. Appl. Phys. 105, 053709 (2009) , “Deep levels in GaTe and GaTe:In crystals investigated by deep-level transient spectroscopy and photoluminescence”, Yunlong Cui, David D. Caudel, Pijush Bhattacharya, Arnold Burger, Krishna C. Mandal, D. Johnstone, and S. A. PayneDeep levels of undoped GaTe and indium-doped GaTe crystals are reported for samples grown by the vertical Bridgman technique. Schottky diodes of GaTe and GaTe:In have been fabricated and characterized using current-voltage, capacitance-voltage, and deep-level transient spectroscopy (DLTS). Three... (Read more)
- 12. J. Appl. Phys. 105, 013504 (2009) , “Behaviors of neutral and charged silicon self-interstitials during transient enhanced diffusion in silicon investigated by isotope superlattices”, Yasuo Shimizu, Masashi Uematsu, Kohei M. Itoh, Akio Takano, Kentarou Sawano, and Yasuhiro ShirakiWe investigated the contributions of neutral and charged silicon self-interstitials to self- and boron diffusion during transient enhanced diffusion in silicon. We simultaneously observed self- and boron diffusion in silicon using natSi/28Si isotope superlattices. A calculation... (Read more)
- 13. Jpn. J. Appl. Phys. 48, 081003 (2009) , “Effects of Phosphorus Implantation on the Activation of Magnesium Doped in GaN”, Kuan-Ting Liu, Shoou-Jinn Chang, and Sean WuThe effects of phosphorus implantation on the activation of magnesium doped in GaN at different dopant concentration ratios have been systematically investigated. Hall effect measurements show that P implantation improves the hole concentration, and that this improvement is dependent on P/Mg dopant... (Read more)
- 14. Jpn. J. Appl. Phys. 48, 031205 (2009) , “Dual-Sublattice Modeling and Semi-Atomistic Simulation of Boron Diffusion in 4H-Silicon Carbide”, Kazuhiro Mochizuki, Haruka Shimizu, and Natsuki YokoyamaReported profiles of high-temperature (500 °C)-implanted boron ions diffused in 4H-silicon carbide at 1200–1900 °C for 5–90 min were simulated through a “dual-sublattice” modeling, in which a different diffusivity is assigned for diffusion via each sublattice, and a... (Read more)
- 15. Phys. Rev. B 79, 075207 (2009) , “EPR study of local symmetry sites of Ce3+ in Pb1−xCexA (A=S, Se, and Te)”, X. Gratens, V. Bindilatti, V. A. Chitta, N. F. Oliveira, and Jr.The local site symmetry of Ce3+ ions in the diluted magnetic semiconductors Pb1−xCexA (A=S, Se, and Te) has been investigated by electron-paramagnetic resonance (EPR). The experiments were carried out on single crystals with cerium... (Read more)
- 16. Phys. Rev. B 79, 075203 (2009) , “Hyperfine interaction in the ground state of the negatively charged nitrogen vacancy center in diamond”, S. Felton, A. M. Edmonds, and M. E. NewtonThe 14N, 15N, and 13C hyperfine interactions in the ground state of the negatively charged nitrogen vacancy (NV−) center have been investigated using electron-paramagnetic-resonance spectroscopy. The previously published parameters for the... (Read more)
- 17. Phys. Rev. B 79, 075201 (2009) , “First-principles studies of small arsenic interstitial complexes in crystalline silicon”, Yonghyun Kim, Taras A. Kirichenko, Ning Kong, Graeme Henkelman, and Sanjay K. BanerjeeWe present a first-principles study of the structure and dynamics of small As-interstitial complexes (AsI2, As2I2, AsI3, and As2I3) in crystalline Si. These complexes can be important components of stable As-interstitial clusters or... (Read more)
- 18. Phys. Rev. B 79, 014102 (2009) , “Vacancy defect positron lifetimes in strontium titanate”, R. A. Mackie, S. Singh, J. Laverock, S. B. Dugdale, and D. J. KeebleThe results of positron-annihilation lifetime spectroscopy measurements on undoped, electron-irradiated, and Nb-doped SrTiO3 single crystals are reported. Perfect lattice and vacancy defect positron lifetimes were calculated using two different first-principles schemes. The Sr-vacancy... (Read more)
- 19. Phys. Rev. Lett. 102, 075506 (2009) , “Proton Tunneling: A Decay Channel of the O-H Stretch Mode in KTaO3”, E. J. Spahr, L. Wen, M. Stavola, L. A. Boatner, L. C. Feldman, N. H. Tolk, and G. LüpkeThe vibrational lifetimes of the O-H and O-D stretch modes in the perovskite oxide KTaO3 are measured by pump-probe infrared spectroscopy. Both stretch modes are exceptionally long lived and exhibit a large “reverse” isotope effect, due to a phonon-assisted proton-tunneling process, which involves the O-Ta-O bending motion. The excited-state tunneling rate is found to be 7 orders of magnitude larger than from the ground state in the proton conducting oxide, BaCeO3 [Phys. Rev. B 60, R3713 (1999)]. (Read more)
- 20. Phys. Rev. Lett. 102, 065502 (2009) , “Transition Metal Impurities on the Bond-Centered Site in Germanium”, S. Decoster, S. Cottenier, B. De Vries, H. Emmerich, U. Wahl, J. G. Correia, and A. VantommeWe report on the lattice location of ion implanted Fe, Cu, and Ag impurities in germanium from a combined approach of emission channeling experiments and ab initio total energy calculations. Following common expectation, a fraction of these transition metals (TMs) was found on the... (Read more)
- 21. Angew. Chem. Int. Ed. 47, (2008) , Wily , “Ag@AgCl: A Highly Efficient and Stable Photocatalyst Active under Visible Light”, PengWang, Baibiao Huang,* Xiaoyan Qin, Xiaoyang Zhang, Ying Dai, JiyongWei, and Myung-Hwan Whangbo
- 22. Appl. Phys. Lett. 93, 152108 (2008) , “Internal gettering of iron in multicrystalline silicon at low temperature”, Rafael Krain, Sandra Herlufsen, and Jan SchmidtThe interstitial iron concentration in multicrystalline silicon wafers, determined from recombination lifetime measurements, is effectively reduced by annealing the wafers at very low temperature (300–500 °C). During annealing, the iron concentration decreases by more than one order of... (Read more)
- 23. Appl. Phys. Lett. 93, 141907 (2008) , “Experimental evidence of tetrahedral interstitial and bond-centered Er in Ge”, S. Decoster, B. De Vries, U. Wahl, J. G. Correia, and A. VantommeWe report on an emission channeling study of the lattice site location of implanted Er in Ge together with its thermal stability. We found direct experimental evidence of Er atoms located on the tetrahedral (T) interstitial site and on the bond-centered (BC) site, with a maximum total occupancy... (Read more)
- 24. Appl. Phys. Lett. 93, 113504 (2008) , “Voltage polarity dependent low-power and high-speed resistance switching in CoO resistance random access memory with Ta electrode”, Hisashi Shima, Fumiyoshi Takano, Hidenobu Muramatsu, Hiro Akinaga, Yukio Tamai, Isao H. Inque, and Hidenori Takagi,Structural and resistance switching properties were investigated in the CoO resistance random access memory (RRAM) with the Ta electrode. The intermediate layer consisting of Co and Ta oxides was confirmed at the interface by the transmission electron microscopy and electron energy loss... (Read more)
- 25. Appl. Phys. Lett. 93, 103505 (2008) , “Misfit point defects at the epitaxial Lu2O3/(111)Si interface revealed by electron spin resonance”, A. Stesmans, P. Somers, V. V. Afanas'ev, W. Tian, L. F. Edge, and D. G. SchlomElectron spin resonance study on heteroepitaxial Si/insulator structures obtained through the growth of epi-Lu2O3 films on (111)Si (~4.5 % mismatched) by reactive molecular beam epitaxy indicates the presence in the as-grown state of interfacial Pb... (Read more)
- 26. Appl. Phys. Lett. 93, 072102 (2008) , AIP , “Broadband electrically detected magnetic resonance of phosphorus donors in a silicon field-effect transistor”, L. H. Willems van Beveren, H. Huebl, D. R. McCamey, T. Duty, A. J. Ferguson, R. G. Clark, and M. S. BrandtWe report electrically detected magnetic resonance of phosphorus donors in a silicon field-effect transistor. An on-chip transmission line is used to generate the oscillating magnetic field allowing broadband operation. At millikelvin temperatures, continuous wave spectra were obtained up to 40 ... (Read more)
- 27. Appl. Phys. Lett. 93, 032108 (2008) , “High-temperature annealing behavior of deep levels in 1 MeV electron irradiated p-type 6H-SiC”, Giovanni Alfieri and Tsunenobu KimotoWe report on the thermal stability of deep levels detected after 1 MeV electron irradiated p-type 6H-SiC. The investigation was performed by deep level transient spectroscopy, and an isochronal annealing series was carried out in the 373–2073 K temperature range. We found seven... (Read more)
- 28. Appl. Phys. Lett. 92, 222109 (2008) , “Deep level defects in a nitrogen-implanted ZnO homogeneous p-n junction”, Q. L. Gu, C. C. Ling, G. Brauer, W. Anwand, W. Skorupa, Y. F. Hsu, A. B. Djurišić, C. Y. Zhu, S. Fung, and L. W. LuNitrogen ions were implanted into undoped melt grown ZnO single crystals. A light-emitting p-n junction was subsequently formed by postimplantation annealing in air. Deep level transient spectroscopy was used to investigate deep level defects induced by N+ implantation and... (Read more)
- 29. Appl. Phys. Lett. 92, 142105 (2008) , “Electric field assisted annealing and formation of prominent deep-level defect in ion-implanted n-type 4H-SiC”, J. Wong-Leung and B. G. SvenssonHigh-purity and low-doped n-type epitaxial layers of 4H-SiC have been implanted with N and C ions by using energies in the MeV range and doses from 2×108 to 1×109 cm−2. Postimplant annealing was performed at 1100 °C prior to... (Read more)
- 30. Appl. Phys. Lett. 92, 132104 (2008) , “Analysis of electronic memory traps in the oxide-nitride-oxide structure of a polysilicon-oxide-nitride-oxide-semiconductor flash memory”, Y. J. Seo, K. C. Kim, and T. G. KimThe origin of the electron memory trap in an oxide-nitride-oxide structure deposited on n-type Si is investigated by both capacitance-voltage and deep level transient spectroscopy (DLTS). Two electron traps are observed near 0.27 and 0.54 eV, below the conduction band minimum of Si and are... (Read more)
- 31. Appl. Phys. Lett. 92, 132102 (2008) , “On the identity of a crucial defect contributing to leakage current in silicon particle detectors”, J. H. Bleka, L. Murin, E. V. Monakhov, B. S. Avset, and B. G. SvenssonThe annealing kinetics of the so-called E4/E5 center or E4a/E4b center in electron-irradiated Si particle detectors has been studied at four different temperatures from 23 to 65 °C using deep-level transient spectroscopy (DLTS). The center gives rise to two energy levels at 0.37 and 0.45 eV... (Read more)
- 32. J. Appl. Phys. 104, 093711 (2008) , “Electrical properties of high energy ion irradiated 4H-SiC Schottky diodes”, G. Izzo, G. Litrico, L. Calcagno, G. Foti, and F. La ViaThe changes in the electrical properties of 4H-SiC epitaxial layer induced by irradiation with 7.0 MeV C+ ions were investigated by current-voltage measurements and deep level transient spectroscopy (DLTS). Current-voltage characteristics of the diodes fabricated from epilayers... (Read more)
- 33. J. Appl. Phys. 104, 093521 (2008) , “Native defects and oxygen and hydrogen-related defect complexes in CdTe: Density functional calculations”, Mao-Hua Du, Hiroyuki Takenaka, and David J. SinghWe study structural and electronic properties of various intrinsic and extrinsic defects in CdTe based on first-principles calculations. The focus is given to the role of these defects in the carrier compensation in semi-insulating CdTe, which is essential for the CdTe-based radiation detectors. The... (Read more)
- 34. J. Appl. Phys. 104, 083702 (2008) , “A bistable divacancylike defect in silicon damage cascades”, R. M. Fleming, C. H. Seager, D. V. Lang, E. Bielejec, and J. M. CampbellTwo deep level transient spectroscopy (DLTS) electron emission signatures, previously labeled E4 and E5, have been shown to be bistable with respect to minority carrier injection at room temperature. These result from two charge state transitions of the same defect. We have performed DLTS... (Read more)
- 35. J. Appl. Phys. 104, 054110 (2008) , “The effect of implanting boron on the optical absorption and electron paramagnetic resonance spectra of silica”, R. H. Magruder, III, A. Stesmans, R. A. Weeks,, and R. A. WellerSilica samples (type III, Corning 7940) were implanted with B using multiple energies to produce a layer ~600 nm thick in which the concentration of B ranged from 0.034 to 2.04 at. %. Optical absorption spectra were measured from 1.8 to 6.5 eV. Electron paramagnetic resonance (EPR) measurements... (Read more)
- 36. J. Appl. Phys. 104, 043702 (2008) , “Mechanisms of unexpected reduction in hole concentration in Al-doped 4H-SiC by 200 keV electron irradiation”, Hideharu Matsuura, Nobumasa Minohara, and Takeshi OhshimaThe hole concentration in Al-doped p-type 4H-SiC was found to be significantly reduced by electron irradiation when compared to the hole concentration in Al-doped p-type Si; this is an unexpected result. The temperature dependence of the hole concentration p(T) in... (Read more)
- 37. J. Appl. Phys. 104, 023705 (2008) , “Study of metal-related deep-level defects in germanide Schottky barriers on n-type germanium”, E. Simoen, K. Opsomer, C. Claeys, K. Maex, C. Detavernier, R. L. Van Meirhaeghe, and P. ClauwsDeep levels have been studied in n-type germanium subjected to Co, Fe, Cu, Cr, and Pt germanidation in the temperature range between 300 and 700 °C by deep-level transient spectroscopy (DLTS). It is shown that most DLTS peaks can be assigned to acceptor levels of substitutional metal... (Read more)
- 38. J. Appl. Phys. 104, 014106 (2008) , “Analysis of electrically biased paramagnetic defect centers in HfO2 and HfxSi1−xO2 / (100)Si interfaces”, P. T. Chen, B. B. Triplett, J. J. Chambers, L. Colombo, P. C. McIntyre, and Y. NishiThis study reports on the first experimental observations of electrically biased paramagnetic defects at 800 °C N2 annealed HfxSi1−xO2 (x=0.4, and 0.6)/(100)Si and HfO2/(100)Si interfaces in metal oxide silicon... (Read more)
- 39. J. Appl. Phys. 103, 123709 (2008) , “Optical detection of magnetic resonance and electron paramagnetic resonance study of the oxygen vacancy and lead donors in ZnO”, R. Laiho, L. S. Vlasenko, and M. P. VlasenkoOptical detection of magnetic resonance (ODMR) and electron paramagnetic resonance (EPR) spectra are investigated in ZnO single crystals. The strong negative ODMR line with axial symmetry of the g-tensor around the c axis with g=2.0133±0.0001 and... (Read more)
- 40. J. Appl. Phys. 103, 104505 (2008) , “Vacancy-type defects in Er-doped GaN studied by a monoenergetic positron beam”, A. Uedono, C. Shaoqiang, S. Jongwon, K. Ito, H. Nakamori, N. Honda, S. Tomita, K. Akimoto, H. Kudo, and S. IshibashiA relationship between intra-4f transitions of Er and vacancy-type defects in Er-doped GaN was studied by using a monoenergetic positron beam. Doppler broadening spectra of the annihilation radiation were measured for Er-doped GaN grown by molecular beam epitaxy. A clear correlation between... (Read more)
- 41. J. Appl. Phys. 103, 094901 (2008) , “Investigation of the origin of deep levels in CdTe doped with Bi”, E. Saucedo, J. Franc, H. Elhadidy, P. Horodysky, C. M. Ruiz, V. Bermúdez, and N. V. SochinskiiCombining optical (low temperature photoluminescence), electrical (thermoelectric effect spectroscopy), and structural (synchrotron X-ray powder diffraction) methods, the defect structure of CdTe doped with Bi was studied in crystals with dopant concentration in the range of... (Read more)
- 42. J. Appl. Phys. 103, 093701 (2008) , “Characterization of plasma etching damage on p-type GaN using Schottky diodes”, M. Kato, K. Mikamo, M. Ichimura, M. Kanechika, O. Ishiguro, and T. KachiThe plasma etching damage in p-type GaN has been characterized. From current-voltage and capacitance-voltage characteristics of Schottky diodes, it was revealed that inductively coupled plasma (ICP) etching causes an increase in series resistance of the Schottky diodes and compensation of... (Read more)
- 43. J. Appl. Phys. 103, 073716 (2008) , “Deep level transient spectroscopy and capacitance-voltage study of dislocations and associated defects in SiGe/Si heterostructures”, Jinggang Lu, Yongkook Park, and George A. RozgonyiThree SiGe/Si heterostructures with different Ge contents have been examined by deep level transient spectroscopy (DLTS) and capacitance-voltage techniques. DLTS revealed a broad band of traps from 80 to 250 K in the as-grown samples. Arrhenius plots of a 25% SiGe sample revealed three trap... (Read more)
- 44. J. Appl. Phys. 103, 044505 (2008) , “Identification of the atomic-scale defects involved in the negative bias temperature instability in plasma-nitrided p-channel metal-oxide-silicon field-effect transistors”, J. P. Campbell, P. M. Lenahan, A. T. Krishnan, and S. KrishnanWe utilize a combination of DC gate-controlled diode recombination current measurements as well as two very sensitive electrically detected magnetic resonance techniques, spin-dependent recombination and spin-dependent tunneling, to identify atomic-scale defects involved in the negative bias... (Read more)
- 45. J. Appl. Phys. 103, 043710 (2008) , “Further characterization of oxygen vacancies and zinc vacancies in electron-irradiated ZnO”, S. M. Evans, N. C. Giles, L. E. Halliburton, and L. A. KappersElectron paramagnetic resonance (EPR) has been used to monitor oxygen vacancies and zinc vacancies in a ZnO crystal irradiated near room temperature with 1.5 MeV electrons. Out-of-phase detection at 30 K greatly enhances the EPR signals from these vacancies. Following the electron irradiation, but... (Read more)
- 46. J. Appl. Phys. 103, 033701 (2008) , “Electron capture and emission properties of interface states in thermally oxidized and NO-annealed SiO2/4H-SiC”, X. D. Chen, S. Dhar, T. Isaacs-Smith, J. R. Williams, L. C. Feldman, and P. M. MooneyPostoxidation annealing in nitric oxide (NO) results in a significant reduction of electronic states at SiO2/4H-SiC interfaces. Measurements of electron trapping dynamics at interface states in both thermally oxidized and NO annealed SiO2/4H-SiC interfaces were performed using... (Read more)
- 47. J. Appl. Phys. 103, 013710 (2008) , “Acceptor levels in GaSe:In crystals investigated by deep-level transient spectroscopy and photoluminescence”, Yunlong Cui, Ryan Dupere, and Arnold BurgerDeep-acceptor levels associated with indium in indium-doped GaSe crystals have been measured. High-quality Schottky diodes of GaSe:In have been fabricated and characterized using current-voltage, capacitance-voltage, and deep-level transient spectroscopy (DLTS). Four DLTS peaks at 127, 160, 248, and... (Read more)
- 48. J.Am.Chem.Soc. 130, 48 (2008) , ACS , “Enhanced Ferromagnetism and Tunable Saturation Magnetization of Mn/C Codoped GaN Nanostructures Synthesized by Carbothermal Nitridation”, Zeyan Wang, Baibiao Huang, Lin Yu, Ying Dai, Peng Wang, Xiaoyan Qin, Xiaoyang Zhang, Jiyong Wei, Jie Zhan, Xiangyang Jing, Haixia Liu, and Myung-Hwan WhangboMn/C-codoped GaN nanostructures were synthesized by carbothermal nitridation with active charcoal as the carbon source. Nanostructures such as zigzag nanowires and nanoscrews were observed by varying the reaction time and the C/Ga molar ratio of the starting material used for the synthesis. The structures and morphologies of the as-grown samples were characterized by X-ray diffraction, scanning electron microscopy, and high-resolution transmission electron microscopy measurements. The doping of both Mn and C in the GaN matrix was confirmed by X-ray photoelectron spectroscopy measurements, and the ferromagnetic properties of Mn/C-codoped GaN samples were confirmed by room-temperature magnetization measurements. The saturation magnetization of Mn/C-codoped GaN increases steadily with increasing C/Ga molar ratio of the starting material at a rate of ~0.023 emu/g per C/Ga molar ratio, and the ferromagnetism of Mn/C-codoped GaN can be stronger than that of Mn-doped GaN by a factor of ~40. A plausible growth mechanism was proposed, and the role of carbon codoping in tuning the morphology and ferromagnetic property was discussed. Our work suggests that carbon doping in the GaN matrix favors the N sites over the Ga sites, Mn/C-codoping in the GaN matrix is energetically favorable, and the C-codoping strongly enhances the preference of the FM coupling to the AFM coupling between the two doped Mn sites. These suggestions were probed on the basis of first-principles density functional theory electronic structure calculations for a number of model doped structures constructed with a 32-atom 2 × 2 × 2 supercell. (Read more)
- 49. Phys. Rev. B 78, 235204 (2008) , “Symmetry of the phosphorus donor in diamond from first principles”, Bozidar Butorac and Alison MainwoodPhosphorus is the only donor in diamond which can be used technologically. Several ab initio theoretical models have been published on substitutional phosphorus, and most of them have predicted that it should have tetrahedral or trigonal symmetry. Recent ab initio calculations... (Read more)
- 50. Phys. Rev. B 78, 235203 (2008) , “Electron paramagnetic resonance of sulfur at a split-vacancy site in diamond”, J. M. BakerIn natural diamonds a sulfur-related paramagnetic center labeled W31 has been previously tentatively assigned to an interstitial sulfur species in a positive charge state. However, we show by combining an assessment of available experimental data and density-functional simulations that the hyperfine... (Read more)
- 51. Phys. Rev. B 78, 233201 (2008) , “Gallium interstitial in irradiated germanium: Deep level transient spectroscopy”, Vl. Kolkovsky, M. Christian Petersen, A. Mesli, J. Van Gheluwe, P. Clauws, and A. Nylandsted LarsenTwo electronic levels at 0.34 eV above the valence band and 0.32 eV below the conduction band, in gallium doped, p-type Ge irradiated with 2 MeV electrons have been studied by deep level transient spectroscopy (DLTS) with both majority- and minority-carrier injections, and Laplace DLTS... (Read more)
- 52. Phys. Rev. B 78, 113202 (2008) , “Two FeH pairs in n-type Si and their implications: A theoretical study”, N. Gonzalez Szwacki, M. Sanati, and S. K. EstreicherExperimental evidence for interstitial {FeH} pairs in n-type Si stems from thermally stimulated capacitance (TSCAP). Electron-paramagnetic resonance (EPR) data have also been interpreted in terms of {FeH} pairs. We present theoretical studies of two {FeH} pairs. The properties of the first... (Read more)
- 53. Phys. Rev. B 78, 085214 (2008) , “First-principles study of native defects in CdGeAs2”, Tula R. Paudel and Walter R. L. LambrechtFirst-principles results are presented for various native defects in CdGeAs2 as function of the relevant elements' chemical potentials. The defect formation energies were calculated using fully relaxed 64 atom supercells by means of the full-potential linearized muffin-tin orbital... (Read more)
- 54. Phys. Rev. B 78, 085205 (2008) , “Formation and origin of the dominating electron trap in irradiated p-type silicon”, Lasse Vines, E. V. Monakhov, A. Yu. Kuznetsov, R. Kozowski, P. Kaminski, and B. G. SvenssonDeep level transient spectroscopy and minority-carrier transient spectroscopy (MCTS) have been applied to study electron-irradiated and proton-irradiated p-type Si samples with boron concentrations in the range of 6×1013−2×1015 cm−3.... (Read more)
- 55. Phys. Rev. B 78, 085202 (2008) , “Evolution of vacancy-related defects upon annealing of ion-implanted germanium”, J. Slotte, M. Rummukainen, F. Tuomisto, V. P. Markevich, A. R. Peaker, C. Jeynes, and R. M. GwilliamPositron annihilation spectroscopy was used to study defects created during the ion implantation and annealing of Ge. Ge and Si ions with energies from 600 keV to 2 MeV were implanted at fluences between 1×1012 cm−2 and 4×1014 ... (Read more)
- 56. Phys. Rev. B 78, 035125 (2008) , “Mechanisms of electrical isolation in O+-irradiated ZnO”, A. Zubiaga, F. Tuomisto, V. A. Coleman, H. H. Tan, C. Jagadish, K. Koike, S. Sasa, M. Inoue, and M. YanoWe have applied positron annihilation spectroscopy combined with sheet resistance measurements to study the electrical isolation of thin ZnO layers irradiated with 2 MeV O+ ions at various fluences. Our results indicate that Zn vacancies, the dominant defects detected by positrons, are... (Read more)
- 57. Phys. Rev. B 78, 033202 (2008) , “Divacancy clustering in neutron-irradiated and annealed n-type germanium”, K. Kuitunen, F. Tuomisto, J. Slotte, and I. CapanWe have studied the annealing of vacancy defects in neutron-irradiated germanium. After irradiation, the Sb-doped samples [(Sb)=1.5×1015 cm−3] were annealed at 473, 673, and 773 K for 30 min. The positron lifetime was measured as a function of temperature... (Read more)
- 58. Phys. Rev. B 77, 195204 (2008) , “Identification of antisite carbon split-interstitial defects in 4H-SiC”, J. W. Steeds, W. SullivanA rich variety of optical centers with high energy local vibrational modes has been found in electron-irradiated 4H-SiC in both the as-irradiated and annealed states. These energies have been measured and the annealing dependence of the optical centers has been investigated by low-temperature... (Read more)
- 59. Phys. Rev. B 77, 195203 (2008) , “Creation and identification of the two spin states of dicarbon antisite defects in 4H-SiC”, J. W. Steeds, W. Sullivan, S. A. Furkert, G. A. Evans, P. J. WellmannThis paper deals with the positive identification by low-temperature photoluminescence microspectroscopy of the two spin states of the dicarbon antisites in 4H-SiC. The defects are created by high-dose electron irradiation at room temperature or by subsequent exposure to intense 325 nm radiation at... (Read more)
- 60. Phys. Rev. B 77, 155214 (2008) , “Optical absorption and electron paramagnetic resonance of the Ealpha[prime]" align="middle"> center in amorphous silicon dioxide”, G. Buscarino, R. Boscaino, S. Agnello, and F. M. GelardiWe report a combined study by optical absorption (OA) and electron paramagnetic resonance (EPR) spectroscopy on the Ealpha[prime]" align="middle"> point defect in amorphous silicon dioxide (a-SiO2). This defect has been studied in β-ray irradiated and... (Read more)
- 61. Phys. Rev. B 77, 085120 (2008) , “Identification of the carbon antisite in SiC: EPR of 13C enriched crystals”, Pavel G. Baranov, Ivan V. Ilyin, Alexandra A. Soltamova, and Eugene N. MokhovAn electron paramagnetic resonance spectrum with axial symmetry along c axis, spin S=1/2 and strong hyperfine interaction with one carbon atom has been observed in neutron-irradiated and annealed 6H-SiC, 13C isotope enriched. The 13C concentration was... (Read more)
- 62. Phys. Rev. B 77, 081201(R) (2008) , “Electron paramagnetic resonance studies of the neutral nitrogen vacancy in diamond”, S. Felton, A. M. Edmonds, M. E. Newton, P. M. Martineau, D. Fisher, and D. J. TwitchenDespite the numerous experimental and theoretical studies on the negatively charged nitrogen vacancy center (NV−) in diamond and the predictions that the neutral nitrogen vacancy center (NV0) should have an S= ground state, NV0 has not previously been... (Read more)
- 63. Phys. Rev. B 77, 073206 (2008) , “Rapid annealing of the vacancy-oxygen center and the divacancy center by diffusing hydrogen in silicon”, J. H. Bleka, I. Pintilie, E. V. Monakhov, B. S. Avset, and B. G. SvenssonIn hydrogenated high-purity Si, the vacancy-oxygen (VO) center is shown to anneal already at temperatures below 200 °C and is replaced by a center, identified as a vacancy-oxygen-hydrogen complex, with an energy level 0.37 eV below the conduction-band edge and a rather low thermal... (Read more)
- 64. Phys. Rev. B 77, 045204 (2008) , “Vacancy clustering and acceptor activation in nitrogen-implanted ZnO”, Thomas Moe Børseth, Filip Tuomisto, Jens S. Christensen, Edouard V. Monakhov, Bengt G. Svensson, and Andrej Yu. KuznetsovThe role of vacancy clustering and acceptor activation on resistivity evolution in N ion-implanted n-type hydrothermally grown bulk ZnO has been investigated by positron annihilation spectroscopy, resistivity measurements, and chemical profiling. Room temperature 220 keV N implantation using... (Read more)
- 65. Phys. Rev. B 77, 035201 (2008) , “Native defect properties and p-type doping efficiency in group-IIA doped wurtzite AlN”, Yong Zhang, Wen Liu and Hanben NiuUsing the first-principles full-potential linearized augmented plane-wave (FPLAPW) method based on density functional theory (DFT), we have investigated the native defect properties and p-type doping efficiency in AlN doped with group-IIA elements such as Be, Mg, and Ca. It is shown that... (Read more)
- 66. Phys. Rev. Lett. 101, 247604 (2008) , “Measurement of Cu(II) Copper Defect Dipoles in Ferroelectric PbTiO3 Using Electron-Nuclear Double Resonance”, R. R. Garipov, J.-M. Spaeth, and D. J. KeeblePoint defects associated with Cu(II) in ferroelectric PbTiO3 were determined using pulsed electron-nuclear double resonance (ENDOR). Three centers were observed, and neighbor 207Pb superhyperfine tensors to the third shell of equivalent Pb ions measured. The ENDOR angular... (Read more)
- 67. Phys. Rev. Lett. 101, 177204 (2008) , “Diffusion of Interstitial Mn in the Dilute Magnetic Semiconductor (Ga,Mn)As: The Effect of a Charge State”, V. I. Baykov, P. A. Korzhavyi, and B. JohanssonMigration barriers for diffusion of interstitial Mn in the dilute magnetic semiconductor (Ga,Mn)As are studied using first-principles calculations. The diffusion pathway goes through two types of interstitial sites: As coordinated and Ga coordinated. The energy profile along the path is found to... (Read more)
- 68. Phys. Rev. Lett. 100, 026803 (2008) , “Electronic Transport in Phosphorus-Doped Silicon Nanocrystal Networks”, A. R. Stegner, R. N. Pereira, K. Klein, R. Lechner, R. Dietmueller, M. S. Brandt, M. Stutzmann, and H. WiggersWe have investigated the role of doping and paramagnetic states on the electronic transport of networks assembled from freestanding Si nanocrystals (Si-NCs). Electrically detected magnetic resonance (EDMR) studies on Si-NCs films, which show a strong increase of conductivity with doping of... (Read more)
- 69. phys. stat. sol. (b) 245, 1298-1314 (2008) , “EPR identification of intrinsic defects in SiC”, J. Isoya, T. Umeda, N. Mizuochi, N. T. Son, E. Janzen, T. OhshimaThe structure determination of intrinsic defects in 4H-SiC, 6H-SiC, and 3C-SiC by means of EPR is based on measuring the angular dependence of the 29Si/13C hyperfine (HF) satellite lines, from which spin densities, sp-hybrid ratio, and p-orbital direction can be determined over... (Read more)Si SiC diamond| EPR Theory electron-irradiation thermal-meas./anneal-exp.| +1 -1 0(neutral) 1.0eV~ 13C 29Si C1h C3v Carbon Csi D2d EI5/6 HEI1 HEI9/10 P6/7 Silicon T1 Td Tv2a V1/2/3 Vc Vsi antisite dangling-bond mono(=1) motional-effect n-type p-type pair(=2) quartet semi-insulating spin-relaxation triplet vacancy .inp files: SiC/Baranov/Baranov_g.inp SiC/EI5_C1h/5.inp SiC/EI5_C3v/5.inp SiC/EI6_RT/6.inp SiC/HEI10/HEI10a.inp SiC/HEI10/HEI10b.inp SiC/HEI1_C1h/1.inp SiC/HEI9/HEI9a.inp SiC/HEI9/HEI9b.inp SiC/SI5_C1h/4.inp SiC/Ky2/Ky2.inp SiC/Tv2a/Main.INP SiC/Vsi-_II_4H/Main.INP SiC/Vsi-_II_6H/Main.INP SiC/Vsi-_I_4H/Main.INP SiC/Vsi-_I_6H/Main.INP | last update: Takahide Umeda
- 70. Appl. Phys. Lett. 91, 202111 (2007) , “Deep levels and carrier compensation in V-doped semi-insulating 4H-SiC”, N. T. Son, P. Carlsson, A. Gällström, B. Magnusson, and E. JanzénElectron paramagnetic resonance was used to study semi-insulating (SI) 4H-SiC substrates doped with vanadium (V) in the range of 5.5×1015–1.1×1017 cm−3. Our results show that the electrical activation of V is low and hence only in... (Read more)
- 71. Appl. Phys. Lett. 91, 152102 (2007) , “N–O related shallow donors in silicon: Stoichiometry investigations”, H. E. Wagner, H. Ch. Alt, W. von Ammon, F. Bittersberger, A. Huber, and L. KoesterFor clarification of the unknown chemical composition of the electrically active N–O defects in silicon, an ingot with variable oxygen content and fixed nitrogen concentration was investigated by infrared spectroscopy. Shallow donor spectra taken at different sample positions, i.e., oxygen... (Read more)
- 72. Appl. Phys. Lett. 91, 142101 (2007) , “Dangling-bond defects and hydrogen passivation in germanium”, J. R. Weber, A. Janotti, P. Rinke, and C. G. Van de WalleThe application of germanium in complementary metal-oxide semiconductor technology is hampered by high interface-state densities. Using first-principles calculations, we investigate the effects of dangling bonds (DBs) and their interaction with hydrogen. We find that Ge DBs give rise to electronic... (Read more)
- 73. Appl. Phys. Lett. 91, 133507 (2007) , “Identification of atomic-scale defect structure involved in the negative bias temperature instability in plasma-nitrided devices”, J. P. Campbell, P. M. Lenahan, A. T. Krishnan, and S. KrishnanWe utilize a very sensitive electron spin resonance technique called spin-dependent tunneling to identify defect centers involved in the negative bias temperature instability in plasma-nitrided p-channel metal-oxide-silicon field-effect transistors. The defect's 29Si hyperfine... (Read more)
- 74. Appl. Phys. Lett. 91, 132105 (2007) , “Shallow acceptors in GaN”, T. A. G. Eberlein, R. Jones, S. Öberg, and P. R. BriddonRecent high resolution photoluminescence studies of high quality Mg doped GaN show the presence of two acceptors. One is due to Mg and the other labeled A1 has a shallower acceptor defect. The authors investigate likely candidates for this shallow acceptor and conclude that CN is the most... (Read more)
- 75. Appl. Phys. Lett. 91, 122109 (2007) , “Determining the defect parameters of the deep aluminum-related defect center in silicon”, Philipp Rosenits, Thomas Roth, Stefan W. Glunz, and Svetlana BeljakowaThrough a combined application of two characterization methods, deep-level transient spectroscopy and lifetime spectroscopy, the lifetime-limiting defect level in intentionally aluminum-contaminated Czochralski silicon has been analyzed and a complete set of defect parameters could be obtained. This... (Read more)
- 76. Appl. Phys. Lett. 91, 104105 (2007) , “Identification of defects in Y3Al5O12 crystals by positron annihilation spectroscopy”, F. A. Selim, D. Solodovnikov, M. H. Weber, and K. G. LynnPositron annihilation, thermoluminescence, and optical absorption measurements were applied with the aid of several annealing and diffusion procedures to investigate the nature of point defects in Y3Al5O12 (YAG) single crystals. By annealing at 1500 °C in air or... (Read more)
- 77. Appl. Phys. Lett. 91, 092107 (2007) , “Doping level dependence of electron irradiation-induced minority carrier diffusion length increase in Mg-doped GaN”, O. Lopatiuk-Tirpak, L. Chernyak, Y. L. Wang, F. Ren, S. J. Pearton, and K. GartsmanThe electron irradiation-induced increase of minority carrier diffusion length was studied as a function of hole concentration in Mg-doped GaN. Variable-temperature electron beam induced current measurements yielded activation energies of 264, 254, 171, and 144 meV for samples with hole... (Read more)
- 78. Appl. Phys. Lett. 91, 043503 (2007) , “Interaction of electron irradiation with nitrogen-related deep levels in InGaAsN”, Aurangzeb Khan, J. Gou, M. Imazumi, and M. YamaguchiThe authors present an investigation of 1 MeV electron irradiation-induced defects in p-InGaAsN and their impact on nitrogen-related defects. A hitherto existing nitrogen-related electron trap E1 (0.20 eV) shows a significant increase in concentration after 1 MeV electron... (Read more)
- 79. Appl. Phys. Lett. 91, 022913 (2007) , “Defects in hydrothermally grown bulk ZnO”, H. von Wenckstern, H. Schmidt, M. Grundmann, M. W. Allen, P. Miller, R. J. Reeves, and S. M. DurbinHydrothermally grown bulk ZnO (Tokyo Denpa) was investigated using junction-capacitance spectroscopy on silver oxide Schottky contacts (barrier height of 1.20 eV, ideality factor of 1.04). Two main shallow defects, T1 and T2, with thermal activation energies of 13 and 52 meV, respectively, were... (Read more)
- 80. Appl. Phys. Lett. 90, 152108 (2007) , “Correlation between carrier recombination and p-type doping in P monodoped and In–P codoped ZnO epilayers”, J. D. Ye, S. L. Gu, F. Li, S. M. Zhu, R. Zhang, Y. Shi, Y. D. Zheng, X. W. Sun, G. Q. Lo, and D. L. KwongThe carrier recombination processes in p-type ZnO epilayers with P monodoping and In–P codoping have been studied by temperature-dependent photoluminescence spectroscopy. Good correlations were observed between carrier recombination and acceptor and donor energy levels. The exciton... (Read more)
- 81. Appl. Phys. Lett. 90, 152103 (2007) , “Ab initio studies of arsenic and boron related defects in silicon mesa diodes”, C. Janke, R. Jones, S. Öberg, and P. R. BriddonE centers are known to diffuse around 400 K in Si and may then form larger donor-vacancy defects such as As2V in heavily doped n-type Si doped with As or AsBV if they diffuse into p-type regions. Ab initio methods are used to explore these possibilities. The... (Read more)
- 82. Appl. Phys. Lett. 90, 142116 (2007) , “Observation of a P-associated defect in HfO2 nanolayers on (100)Si by electron spin resonance”, K. Clémer, A. Stesmans, and V. V. Afanas'evElectron spin resonance analysis has detected a P-donor related point defect in nanometer-thick HfO2 films on (100)Si after annealing in the range of 500–900 °C. Based on the principal g matrix (axial; g=1.9965; g=1.9975) and hyperfine... (Read more)
- 83. Appl. Phys. Lett. 90, 123502 (2007) , “Observation of negative bias stressing interface trapping centers in metal gate hafnium oxide field effect transistors using spin dependent recombination”,The authors combine metal oxide semiconductor (MOS) gated diode measurements and very sensitive electrically detected electron spin resonance measurements to detect and identify negative bias temperature instability (NBTI) generated defect centers in fully processed HfO2 pMOS field effect... (Read more)
- 84. Appl. Phys. Lett. 90, 123501 (2007) , “Deep level defects which limit current gain in 4H SiC bipolar junction transistors”, C. J. Cochrane, P. M. Lenahan, and A. J. LelisThe authors employ a very sensitive electrically detected electron spin resonance technique called spin dependent recombination to observe recombination centers in fully processed 4H SiC n-p-n bipolar junction transistors. Their measurements indicate that the observed... (Read more)
- 85. Appl. Phys. Lett. 90, 122103 (2007) , American Institute of Physics , “Pressure-tuned colossal improvement of thermoelectric efficiency of PbTe”, Sergey V. Ovsyannikov and Vladimir V. ShchennikovThe variations in thermoelectric (TE) efficiencies æ of lead chalcogenide compounds (p-PbTe, n-PbTe, p-Pb0.55Te0.45, p-Pb1−xSnxTe1−y, p-PbSe, and p-PbS) at room... (Read more)
- 86. Appl. Phys. Lett. 90, 122103 (2007) , American Institute of Physics , “Pressure-tuned colossal improvement of thermoelectric efficiency of PbTe”, Sergey V. Ovsyannikov and Vladimir V. ShchennikovThe variations in thermoelectric (TE) efficiencies æ of lead chalcogenide compounds (p-PbTe, n-PbTe, p-Pb0.55Te0.45, p-Pb1−xSnxTe1−y, p-PbSe, and p-PbS) at room... (Read more).inp files: PbTe | last update: Sergey V. Ovsyannikov
- 87. Appl. Phys. Lett. 90, 122101 (2007) , “Hydrogen peroxide treatment induced rectifying behavior of Au/n-ZnO contact”, Q. L. Gu, C. C. Ling, X. D. Chen, C. K. Cheng, A. M. C. Ng, C. D. Beling, S. Fung, A. B. Djurišić, L. W. Lu, G. Brauer, and H. C. OngConversion of the Au/n-ZnO contact from Ohmic to rectifying with H2O2 pretreatment was studied systematically using I-V measurements, x-ray photoemission spectroscopy, positron annihilation spectroscopy, and deep level transient spectroscopy.... (Read more)
- 88. Appl. Phys. Lett. 90, 112110 (2007) , “Alpha-particle irradiation-induced defects in n-type germanium”, Vl. Kolkovsky, M. Christian Petersen, and A. Nylandsted LarsenDeep level transient spectroscopy and high-resolution Laplace deep level transient spectroscopy were used to investigate alpha-particle irradiation-induced defects in n-type Ge. It is proposed that there is no electrically active divacancy level in the upper half of the band gap. A dominant... (Read more)
- 89. Appl. Phys. Lett. 90, 074101 (2007) , “Stacking fault generation during relaxation of silicon germanium on insulator layers obtained by the Ge condensation technique”, B. Vincent, J.-F. Damlencourt, V. Delaye, R. Gassilloud, L. Clavelier, and Y. MorStacking fault generation within silicon germanium on insulator substrates fabricated by the Ge condensation technique has been evidenced by transmission electronic microscopy analyses for high Ge content enrichments (80%). This phenomenon is explained as a typical strain relaxation mechanism... (Read more)
- 90. Appl. Phys. Lett. 90, 073507 (2007) , “Fermi-level pinning at polycrystalline silicon-HfO2 interface as a source of drain and gate current 1/f noise”, P. Magnone, F. Crupi, L. Pantisano, and C. PaceThe impact of a submonolayer of HfO2 sandwiched between the SiON gate dielectric and the polycrystalline silicon layer on the low frequency noise of a n-channel metal oxide semiconductor field effect transistor is investigated. Fermi-level pinning at polycrystalline... (Read more)
- 91. Appl. Phys. Lett. 90, 072905 (2007) , “Imaging deep trap distributions by low vacuum scanning electron microscopy”, Milos Toth, W. Ralph Knowles, and Matthew R. PhillipsThe distribution of deep traps in a bulk dielectric (Al2O3) is imaged by low vacuum scanning electron microscopy (LVSEM). The image contrast corresponds to spatial variations in radiation-induced, field-enhanced conductivity. A methodology is presented for identification of... (Read more)
- 92. Appl. Phys. Lett. 90, 072502 (2007) , “Effect of oxygen vacancies on spin-dependent tunneling in Fe/MgO/Fe magnetic tunnel junctions”, J. P. Velev, K. D. Belashchenko, S. S. Jaswal, and E. Y. TsymbalFirst-principles calculations based on density functional theory are used to elucidate the effect of O vacancies, forming F centers, on spin-dependent tunneling in Fe/MgO/Fe(001) magnetic tunnel junctions. O vacancies produce occupied localized s states and unoccupied resonant p... (Read more)
- 93. Appl. Phys. Lett. 90, 072107 (2007) , “Deuterium passivation of electrically active defects in nonintentionally doped n-GaN”, J. Mimila-Arroyo, M. Barbé, F. Jomard, J. Chevallier, M. A. di Forte-Poisson, S. L. Delage, and C. Dua.Deuterium diffusion was achieved in nonintentionally doped n-GaN layers, grown by metal organic chemical vapor deposition, at 460 °C and a power density of 1.0 W cm−2. A deuterium diffusion mechanism was observed yielding concentrations around 1018 ... (Read more)
- 94. Appl. Phys. Lett. 90, 063103 (2007) , “Origin of the red luminescence band in photoluminescence spectra of ZnSe nanowires”, U. Philipose, S. Yang, T. Xu, and Harry E. RudaIn this work, the origin of the deep level, defect related photoluminescence emission band in ZnSe is investigated. Using the dependence of the peak energy on excitation intensity, it was shown to originate from donor-acceptor pair recombination. The binding energy of the donor-acceptor pair was... (Read more)
- 95. Appl. Phys. Lett. 90, 062116 (2007) , “Reduction of traps and improvement of carrier lifetime in 4H-SiC epilayers by ion implantation”, Liutauras Storasta and Hidekazu TsuchidaThe authors report a significant reduction in deep level defects and improvement of carrier lifetime in 4H-SiC material after carrying out carbon or silicon ion implantation into the shallow surface layer of 250 nm and subsequent annealing at 1600 °C or higher temperature. Reduction of... (Read more)
- 96. Appl. Phys. Lett. 90, 062113 (2007) , “Influence of growth conditions on irradiation induced defects in low doped 4H-SiC epitaxial layers”, I. Pintilie, U. Grossner, B. G. Svensson, K. Irmscher, and B. ThomasNitrogen doped 4H-SiC epitaxial layers were investigated by deep level transient spectroscopy after irradiation with 6 MeV electrons. The influence of C/Si ratio, N doping level, and growth rate on the behavior of the prominent Z1,2 and EH6,7 levels during... (Read more)
- 97. Appl. Phys. Lett. 90, 052901 (2007) , “Process-dependent defects in Si/HfO2/Mo gate oxide heterostructures”, S. Walsh, L. Fang, J. K. Schaeffer, E. Weisbrod, and L. J. BrillsonThe authors have used low energy electron-excited nanoscale-depth-resolved spectroscopy to probe the bulk and interface defect states of ultrathin Mo/HfO2/Si with conventional process sequences. Multiple deep level emissions are evident below the 5.9 eV HfO2 near band edge,... (Read more)
- 98. Appl. Phys. Lett. 90, 051902 (2007) , “Recombination processes in undoped and rare-earth doped MAl2O4 (M=Ca,Sr) persistent phosphors investigated by optically detected magnetic resonance”, Stefan Schweizer, Bastian Henke, Uldis Rogulis, and William M. YenThe authors present magneto-optical measurements on single-crystal MAl2O4 (M=Ca and Sr) persistent phosphors that are nominally pure or doped with Eu and Nd or Dy, respectively. Their recombination luminescence (RL) and microwave-induced changes in the RL in a... (Read more)
- 99. Appl. Phys. Lett. 90, 042105 (2007) , “Stress induced leakage current mechanism in thin Hf-silicate layers”, A. Paskaleva, M. Lemberger, and A. J. BauerStress induced leakage current (SILC) in thin Hf-silicate layers and the mechanisms of its creation are examined. A very strong polarity and thickness dependence as well as partial recovery of SILC are observed. It is suggested that the trapping in preexisting sites influences SILC by two ways: (1)... (Read more)
- 100. Appl. Phys. Lett. 90, 041910 (2007) , “Evolution of W optical center in Si-implanted epitaxial SiGe at low temperature annealing”, J. Tan, G. Davies, S. Hayama, and A. Nylandsted LarsenThe authors have investigated the effect of Ge concentration on the evolution of W optical center (W center) in Si-implanted epitaxial Si1−xGex at low temperature annealing. From the results of photoluminescence, the annealing behavior of... (Read more)
- 101. Appl. Phys. Lett. 90, 032906 (2007) , “Large scale ab initio molecular dynamics simulations of hydrogen-induced degradation of Ta diffusion barriers in ultralow-k dielectric systems”, Ling Dai, V. B. C. Tan, Shuo-Wang Yang, Ping Wu, and Xian-Tong ChenIn ultralow-k dielectric systems, the porous dielectrics are normally sealed by a SiC film before the deposition of a Ta diffusion barrier layer. However, the Ta barrier effects are negated when the SiC films are fabricated by plasma-enhanced chemical vapor deposition (PECVD). Through large... (Read more)
- 102. Appl. Phys. Lett. 90, 032102 (2007) , “Dynamic nuclear polarization induced by hot electrons”, Yosuke Komori and Tohru OkamotoA method for local dynamic nuclear polarization is demonstrated in a GaAs/AlGaAs heterostructure at the Landau level filling factor ν=3. Using a narrow channel sample, where the width varies stepwise along the electron flow, the authors find that electron cooling (heating) causes the polarization... (Read more)
- 103. Appl. Phys. Lett. 90, 023112 (2007) , “Electrically tunable defects in metallic single-walled carbon nanotubes”, Ji-Yong ParkA defect whose electron transmission probability can be controlled by electric field is intentionally created on a metallic single-walled carbon nanotube (SWCNT) with a voltage pulse from a tip of an atomic force microscope (AFM). Localized characteristics of the created defect are elucidated with... (Read more)
- 104. Appl. Phys. Lett. 90, 021920 (2007) , “Hydrogen passivation of nitrogen in GaNAs and GaNP alloys: How many H atoms are required for each N atom?”, I. A. Buyanova, W. M. Chen, M. Izadifard, S. J. Pearton, C. Bihler, M. S. Brandt, Y. G. Hong, and C. W. TuSecondary ion mass spectrometry and photoluminescence are employed to evaluate the origin and efficiency of hydrogen passivation of nitrogen in GaNAs and GaNP. The hydrogen profiles are found to closely follow the N distributions, providing unambiguous evidence for their preferential binding as the... (Read more)
- 105. Appl. Phys. Lett. 90, 013116 (2007) , “Onset of stacking faults in InP nanowires grown by gas source molecular beam epitaxy”, D. M. Cornet, V. G. M. Mazzetti, and R. R. LaPierreInP nanowires (NWs) were grown by gas source molecular beam epitaxy on InP (111)B substrates, using Au nanoparticles as a growth catalyst. The rod-shaped NWs exhibited hexagonal sidewall facets oriented along the {−211} family of crystal planes for all NW diameters, indicating minimal... (Read more)
- 106. Appl. Phys. Lett. 90, 013104 (2007) , “Scanning tunneling microscopy investigations of hydrogen plasma-induced electron scattering centers on single-walled carbon nanotubes”, G. Buchs, P. Ruffieux, P. Gröning, and O. GröningThe authors report on the generation of localized defects on single-walled carbon nanotubes by means of a hydrogen electron cyclotron resonance plasma. The defects have been investigated using scanning tunneling microscopy (STM) and show an apparent topographic height in the STM of 1–3 ... (Read more)
- 107. Appl. Phys. Lett. 90, 012107 (2007) , “Unambiguous identification of the PL-I9 line in zinc oxide”, S. Müller, D. Stichtenoth, M. Uhrmacher, H. Hofsäss, C. Ronning, and J. RöderRadioactive 111In atoms implanted into zinc oxide (ZnO) single crystals occupy substitutional Zn lattice sites after annealing to 700 °C. The respective photoluminescence (PL) spectra of the samples were monitored while the donor In decayed into stable and isolectronic Cd. The... (Read more)
- 108. Appl. Phys. Lett. 90, 011905 (2007) , “Dislocation junctions as barriers to threading dislocation migration”, Siu Sin Quek, Zhaoxuan Wu, Yong-Wei Zhang, Yang Xiang, and David J. SrolovitzLevel set simulations of dislocation dynamics in biaxially strained, heteroepitaxial films reveal interesting kinetic and thermodynamic mechanisms for blocking the migration of threading dislocations. Two dislocations on the same or on intersecting slip planes may react to form a threading... (Read more)
- 109. J. Appl. Phys. 102, 113702 (2007) , “Effect of the Schottky barrier height on the detection of midgap levels in 4H-SiC by deep level transient spectroscopy”, S. A. Reshanov and G. PenslThe effect of the Schottky barrier height on the detection of the concentration of midgap defects using deep level transient spectroscopy (DLTS) is experimentally and theoretically studied for EH6 and EH7 defects in 4H-SiC. In this special case, the DLTS signal height... (Read more)
- 110. J. Appl. Phys. 102, 103514 (2007) , AIP , “Characterization of defects in ZnO nanocrystals: Photoluminescence and positron annihilation spectroscopic studies”, A. K. Mishra, S. K. Chaudhuri, S. Mukherjee, A. Priyam, A. Saha, and D. DasDefects present in ZnO nanocrystals prepared by a wet chemical method have been characterized by photoluminescence (PL) and positron annihilation spectroscopy (PAS) techniques. The as-prepared sample was heat treated at different temperatures to obtain nanocrystals in the size range of 19–39 ... (Read more)
- 111. J. Appl. Phys. 102, 093711 (2007) , “Deep level thermal evolution in Fe implanted InP”, Tiziana Cesca and Andrea GasparottoWe report on the thermal evolution of the deep levels in Fe implanted and annealed InP. The position and nature of the dominant traps have been assessed by current-voltage-temperature measurements analyzed in the framework of the space-charge-limited current model. For low temperature annealing the... (Read more)
- 112. J. Appl. Phys. 102, 093504 (2007) , “Recombination centers in as-grown and electron-irradiated ZnO substrates”, N. T. Son and I. G. IvanovOptical detection of magnetic resonance (ODMR) was used to study defects in ZnO substrates irradiated with 3 MeV electrons at room temperature. The Zn vacancy and some other ODMR centers were detected. Among these, the Zn vacancy and two other centers, labeled as LU3 and LU4, were also commonly... (Read more)
- 113. J. Appl. Phys. 102, 086107 (2007) , “Photoluminescence in phosphorous-implanted ZnO films”, Veeramuthu Vaithianathan, Shunichi Hishita, Jae Young Park, and Sang Sub KimZnO thin films prepared by pulsed laser deposition were implanted with phosphorous (P) using dose levels of 1012–1014 ions/cm2 at room temperature. The P-implanted films were subsequently annealed between 500 and 700 °C in oxygen ambient. The Hall effect... (Read more)
- 114. J. Appl. Phys. 102, 084505 (2007) , “Annealing properties of vacancy-type defects in ion-implanted GaN studied by monoenergetic positron beams”, A. Uedono, K. Ito, H. Nakamori, K. Mori, Y. Nakano, T. Kachi, S. Ishibashi, T. Ohdaira, and R. SuzukiDefects in ion-implanted GaN and their annealing properties were studied by using monoenergetic positron beams. Doppler broadening spectra of the annihilation radiation and the positron lifetimes were measured for Si+, O+, and Be+-implanted GaN grown by the... (Read more)
- 115. J. Appl. Phys. 102, 073521 (2007) , “Effect of point defects on copper-related deep levels in p-type Czochralski silicon”, Weiyan Wang, Deren Yang, Xuegong Yu, Xiangyang Ma, and Duanlin QueThe effect of point defects on the copper (Cu)-related deep levels in p-type Czochralski (Cz) silicon has been investigated. It was found that generally five deep levels Ev+0.14 eV, Ev+0.17 eV, Ev+0.32 eV,... (Read more)
- 116. J. Appl. Phys. 102, 063713 (2007) , “Peculiarities of neutron-transmutation phosphorous doping of 30Si enriched SiC crystals: Electron paramagnetic resonance study”, P. G. Baranov, B. Ya. Ber, I. V. Ilyin, A. N. Ionov, E. N. Mokhov, M. V. Muzafarova, M. A. Kaliteevskii, P. S. Kop'ev, A. K. Kaliteevskii, O. N. Godisov, and I. M. LazebnikWe have obtained a high concentration of P donor dopants in 6H-SiC enriched with 30Si and irradiated with thermal neutrons. It was established that annealing at a relatively low temperature of 1300 °C, i.e., 500–600 °C lower than that used for annealing SiC with the... (Read more)
- 117. J. Appl. Phys. 102, 024908 (2007) , “Nitrogen acceptors in bulk ZnO (000) substrates and homoepitaxial ZnO films”, B. T. Adekore, J. M. Pierce, R. F. Davis, D. W. Barlage, and J. F. MuthBulk single crystals of unintentionally doped ZnO having charge carrier concentration, ND−NA values of ~1017 cm−3 were implanted with N+ ions at dosages of 1015 and 1016 ... (Read more)
- 118. J. Appl. Phys. 102, 023522 (2007) , “Segregation of ion implanted sulfur in Si(100) after annealing and nickel silicidation”, Q. T. Zhao, U. Breuer, St. Lenk, and S. MantlDiffusion of sulfur (S) in S+ ion implanted Si(100) was investigated after rapid thermal annealing as well as after nickel silicidation. At lower S doses, S segregates to the Si(100) surface when the defects created by the S implantation are reduced during annealing. If the S dose... (Read more)
- 119. J. Appl. Phys. 102, 013530 (2007) , “Fluorine-vacancy complexes in Si-SiGe-Si structures”, D. A. Abdulmalik, P. G. Coleman, H. A. W. El Mubarek, and P. AshburnFluorine-vacancy (FV) complexes have been directly observed in the Si0.94Ge0.06 layer in a Si-SiGe-Si structure, using variable-energy positron annihilation spectroscopy (VEPAS). These complexes are linked to the significant reduction of boron diffusion in the SiGe layer via... (Read more)
- 120. J. Appl. Phys. 101, 124902 (2007) , “Origin of green luminescence of ZnO powders reacted with carbon black”, Yi Hu and H.-J. ChenZnO powders were synthesized by a precipitation method and annealed with carbon black. Electron paramagnetic resonance (EPR) and photoluminescence spectroscopies were conducted to study the characteristics of the ZnO powders. The g factor of the EPR signal shifted to lower value for the... (Read more)
- 121. J. Appl. Phys. 101, 113537 (2007) , “Reactions of interstitial carbon with impurities in silicon particle detectors”, L. F. Makarenko, M. Moll, F. P. Korshunov, and S. B. LastovskiWe present deep level transient spectroscopy (DLTS) data measured on very high resistivity n-type float-zone silicon detectors after irradiation with 6 MeV electrons. The carbon interstitial annealing kinetics is investigated as a function of depth in the detector structure and related to... (Read more)
- 122. J. Appl. Phys. 101, 113526 (2007) , “Thermal evolution of boron irradiation induced defects in predoped Si revealed by positron annihilation experiments”, P. M. G. Nambissan, P. V. Bhagwat, and M. B. KurupThe isochronal annealing behavior of high energy (25–72 MeV) boron ion irradiation induced defects in boron-doped silicon is monitored through measurements of positron lifetimes and three distinct defect-evolution stages are identified. The initial boron doping created a defect environment... (Read more)
- 123. J. Appl. Phys. 101, 103716 (2007) , “Deep level transient spectroscopy study of defects in hydrogen implanted p-type 4H-SiC”, Giovanni Alfieri and Tsunenobu Kimotop-type 4H-SiC epitaxial layers grown by chemical vapor deposition have been implanted with 200 and 100 keV protons at five different implantation temperatures. An isochronal annealing series was performed from 100 to 1800 °C, and Al-doped epitaxial layers have been... (Read more)
- 124. J. Appl. Phys. 101, 103704 (2007) , “Deep level transient spectroscopy on as-grown and electron-irradiated p-type 4H-SiC epilayers”, Katsunori Danno and Tsunenobu KimotoThe authors have investigated deep levels in as-grown and electron-irradiated p-type 4H-SiC epilayers by deep level transient spectroscopy. In as-grown epilayers, the D center and four deep levels are observed. In p-type 4H-SiC, reactive ion etching followed by thermal treatment (at... (Read more)
- 125. J. Appl. Phys. 101, 093706 (2007) , “Persistent photoinduced changes in charge states of transition-metal donors in hydrothermally grown ZnO crystals”, Yongquan Jiang, N. C. Giles, and L. E. HalliburtonElectron paramagnetic resonance (EPR) has been used to monitor photoinduced changes in the charge states of deep transition-metal donors (Mn, Fe, Co, and Ni), shallow donors (Al and Ga), and lithium acceptors in a hydrothermally grown ZnO crystal. All of these impurities except the lithium were... (Read more)
- 126. J. Appl. Phys. 101, 086106 (2007) , “Electron irradiation induced deep centers in hydrothermally grown ZnO”, Z.-Q. Fang, B. Claflin, D. C. Look, and G. C. FarlowAn n-type hydrothermally grown ZnO sample becomes semi-insulating (ρ~108 Ω cm) after 1-MeV electron-irradiation. Deep traps produced by the irradiation were studied by thermally stimulated current spectroscopy. The dominant trap in the as-grown sample has an activation... (Read more)
- 127. J. Appl. Phys. 101, 083529 (2007) , “Low temperature diffusion of impurities in hydrogen implanted silicon”, S. Personnic, K. K. Bourdelle, F. Letertre, A. Tauzin, F. Laugier, R. Fortunier, and H. KlockerThe effect of hydrogen implantation on the transport of impurities in silicon is studied. We use secondary ion mass spectrometry measurements to investigate the depth redistribution of oxygen, carbon, and fluorine during low temperature, 450 °C, isothermal anneals. Their fast migration... (Read more)
- 128. J. Appl. Phys. 101, 073706 (2007) , “Properties of dominant electron trap center in n-type SiC epilayers by means of deep level transient spectroscopy”, M. Asghar, I. Hussain, H. S. Noor, F. Iqbal, Q. Wahab, and A. S. BhattiCharacterization of dominant electron trap in as-grown SiC epilayers has been carried out using deep level transient spectroscopy. Two electron traps E1 and Z1 at Ec−0.21 and Ec−0.61 are observed, respectively;... (Read more)
- 129. J. Appl. Phys. 101, 054511 (2007) , “Annealing of 60Co gamma radiation-induced damage in n-GaN Schottky barrier diodes”, G. A. Umana-Membreno, J. M. Dell, G. Parish, B. D. Nener, L. Faraone, S. Keller, and U. K. MishraThe effect of isochronal thermal annealing on Ni/n-GaN Schottky barrier diodes exposed to a total accumulated gamma-ray dose of 21 Mrad(Si) has been investigated using capacitance-voltage (C-V) and current-voltage (I-V) measurements, while capacitance deep-level... (Read more)
- 130. J. Appl. Phys. 101, 053716 (2007) , “Compensation mechanism in high purity semi-insulating 4H-SiC”, W. C. Mitchel, William D. Mitchell, H. E. Smith, G. Landis, S. R. Smith, and E. R. GlaserA study of deep levels in high purity semi-insulating 4H-SiC has been made using temperature dependent Hall effect (TDH), thermal and optical admittance spectroscopies, and secondary ion mass spectrometry (SIMS). Thermal activation energies from TDH varied from a low of 0.55 eV to a high of... (Read more)
- 131. J. Appl. Phys. 101, 053709 (2007) , “Impacts of growth parameters on deep levels in n-type 4H-SiC”, Katsunori Danno, Tsutomu Hori, and Tsunenobu KimotoDeep levels in n-type 4H-SiC epilayers have been investigated by deep level transient spectroscopy. The Z1/2 and EH6/7 centers are dominant in epilayers grown with low C/Si ratios during chemical vapor deposition. By increasing the C/Si ratio, the... (Read more)
- 132. J. Appl. Phys. 101, 046107 (2007) , “Dopant dependence on passivation and reactivation of carrier after hydrogenation”, N. Fukata, S. Sato, H. Morihiro, K. Murakami, K. Ishioka, M. Kitajima, and S. HishitaThe formation of hydrogen (H)-related complexes and H effects on boron (B) and phosphorus (P) dopants was investigated in B- or P-doped silicon (Si) crystal treated with high concentration of H. The reactivation process of dopant carriers by annealing after hydrogenation was significantly different... (Read more)
- 133. J. Appl. Phys. 101, 024324 (2007) , “Electron paramagnetic resonance in transition metal-doped ZnO nanowires”, A. O. Ankiewicz, M. C. Carmo, N. A. Sobolev, W. Gehlhoff, E. M. Kaidashev, A. Rahm, M. Lorenz, and M. GrundmannThe wide-band-gap zinc oxide-based diluted magnetic semiconductors currently attract considerable attention due to their possible use in spintronic devices. In this work, we studied ZnO nanowire samples synthesized on 10×10 mm2 a-plane sapphire substrates by high-pressure... (Read more)
- 134. J. Appl. Phys. 101, 024101 (2007) , “Defect states in the high-dielectric-constant gate oxide HfSiO4”, K. Xiong, Y. Du, K. Tse, and J. RobertsonHafnium silicate has a high dielectric constant and is a leading candidate to act as a gate dielectric. The defect energy levels have been calculated. The oxygen vacancy is found to give rise to Si-like levels which lie within the band gap of Si. The vacancy states are very localized and are... (Read more)
- 135. J. Appl. Phys. 101, 023516 (2007) , “Effect of screw dislocation density on optical properties in n-type wurtzite GaN”, Jeong Ho You and H. T. JohnsonThe effect of open-core screw dislocations on photoluminescence in n-doped wurtzite GaN epilayer is studied computationally and compared with experimental data. A k•p Hamiltonian calculation domain is set up to contain a dipole of open-core screw dislocations, and its size... (Read more)
- 136. J. Appl. Phys. 101, 023515 (2007) , “He induced nanovoids for point-defect engineering in B-implanted crystalline Si”, E. Bruno, S. Mirabella, F. Priolo, E. Napolitani, C. Bongiorno, and V. RaineriIn this paper we present a systematic study on the formation of He ion implantation induced nanovoids in Si and how they influence the self-interstitial (Is) supersaturation, thus affecting the diffusion and electrical activation of implanted boron in crystalline silicon. We implanted He ions... (Read more)
- 137. J. Appl. Phys. 101, 013902 (2007) , “An electron paramagnetic resonance study of n-type Zn1−xMnxO: A diluted magnetic semiconductor”, A. Ben Mahmoud, H. J. von Bardeleben, J. L. Cantin, E. Chikoidze, and A. MaugerWe present the results of an electron paramagnetic resonance study of homogeneous single phase Zn1−xMnxO thin films with Mn concentrations varying between x=0.07 and x=0.34. Our results show antiferromagnetic (AF) coupling in the entire... (Read more)
- 138. J. Appl. Phys. 101, 013707 (2007) , “Vanadium donor and acceptor levels in semi-insulating 4H- and 6H-SiC”, W. C. Mitchel, W. D. Mitchell, G. Landis, H. E. Smith, Wonwoo Lee, and M. E. ZvanutThe electronic levels of vanadium in semi-insulating 4H- and 6H-SiC have been reinvestigated using temperature dependent Hall effect and resistivity measurements at temperatures up to 1000 K in conjunction with electron paramagnetic resonance (EPR) and optical absorption measurements... (Read more)
- 139. J. Appl. Phys. 101, 013703 (2007) , “Electron spin resonance study of as-deposited and annealed (HfO2)x(SiO2)1−x high-κ dielectrics on Si”, B. B. Triplett, P. T. Chen, Y. Nishi, P. H. Kasai, J. J. Chambers, and L. ColomboElectron spin resonance measurements on 4 and 40 nm thick (HfO2)0.6(SiO2)0.4 and (HfO2)0.4(SiO2)0.6 high-κ films on (100)Si wafers detected Pb0 and Pb1... (Read more)
- 140. Jpn. J. Appl. Phys. 46, L57 (2007) , “Synthesis and Characterization of Pt/Co–O/Pt Trilayer Exhibiting Large Reproducible Resistance Switching”, Hisashi Shima, Fumiyoshi Takano, Yukio Tamai, Hiro Akinaga, and Isao H. Inoue.The resistance switching in Pt/Co–O/Pt trilayers has been successfully demonstrated. The trilayers were prepared by radio-frequency magnetron sputtering. The partial pressure of oxygen during sputtering and the post thermal process for the trilayer are crucial to realize the reproducible resistance switching. By adjusting oxygen partial pressure as well as post-annealing temperature and time, large resistance switching was steadily obtainable in both the as deposited and post-annealed Pt/Co–O/Pt trilayers. The resistance switching ratio exceeds 103, being sufficiently large for the resistance random access memory (RRAM). Co–O is regarded as a very promising oxide for RRAM having compatibilities with the conventional complementary metal–oxide semiconductor process. (Read more)
- 141. Phys. Rev. B 76, 233204 (2007) , “Room-temperature annealing of vacancy-type defect in high-purity n-type Si”, J. H. Bleka, E. V. Monakhov, B. G. Svensson, and B. S. AvsetElectron-irradiated p+-n−-n+ diodes produced from low-doped high-purity Si wafers were found, by deep-level transient spectroscopy (DLTS), to have a prominent defect, labeled E4, with an energy level 0.37 eV below the... (Read more)
- 142. Phys. Rev. B 76, 233202 (2007) , “Evidence of a second acceptor state of the E center in Si1−xGex”, K. Kuitunen, F. Tuomisto, and J. SlotteWe have found evidence of a second acceptor state of the E center in Si1−xGex by using positron annihilation spectroscopy. To achieve this, we studied proton irradiated n-type Si1−xGex with a Ge content... (Read more)
- 143. Phys. Rev. B 76, 214114 (2007) , “Biradical states of oxygen-vacancy defects in α-quartz”, R. I. Mashkovtsev, D. F. Howarth, and J. A. WeilSeveral radiation defects with effective electron spin S=1 have been observed in synthetic α-quartz, using room-temperature (RT) electron paramagnetic resonance (EPR) spectroscopy. It turns out that these defects had better be considered as biradicals, i.e., pairs of S=1/2... (Read more)
- 144. Phys. Rev. B 76, 195203 (2007) , “Zn interstitial related donors in ammonia-treated ZnO powders”, J. Sann, J. Stehr, A. Hofstaetter, D. M. Hofmann, A. Neumann, M. Lerch, U. Haboeck, A. Hoffmann, and C. ThomsenZnO powder heat treated in NH3 atmosphere was investigated by electron paramagnetic resonance, photoluminescence, and Raman spectroscopy. We find that the treatment creates Zn interstitials (Zni) and complexes of Zn interstitials and nitrogen atoms substituting oxygen... (Read more)
- 145. Phys. Rev. B 76, 165209 (2007) , “Theoretical study of small silicon clusters in 4H-SiC”, T. Hornos, N. T. Son, E. Janzén, and A. GaliWe have studied the small clusters of silicon and carbon interstitials by ab initio supercell calculations in 4H-SiC. We found that silicon interstitials can form stable and electrically active complexes with each other or with a carbon interstitial. Local vibration modes and... (Read more)
- 146. Phys. Rev. B 76, 165207 (2007) , “Introduction and recovery of Ga and N sublattice defects in electron-irradiated GaN”, F. Tuomisto, V. Ranki, D. C. Look, and G. C. FarlowWe have used positron annihilation spectroscopy to study the introduction and recovery of point defects introduced by 0.45 and 2 MeV electron irradiation at room temperature in n-type GaN. Isochronal annealings were performed up to 1220 K. We observe vacancy defects with specific lifetime... (Read more)
- 147. Phys. Rev. B 76, 165202 (2007) , “Native point defects in ZnO”, Anderson Janotti and Chris G. Van de WalleWe have performed a comprehensive first-principles investigation of native point defects in ZnO based on density functional theory within the local density approximation (LDA) as well as the LDA+U approach for overcoming the band-gap problem. Oxygen deficiency, manifested in the form of... (Read more)
- 148. Phys. Rev. B 76, 155203 (2007) , “Ab initio study of lithium and sodium in diamond”, E. B. Lombardi, Alison Mainwood, and K. OsuchInterstitial lithium and sodium have been suggested as alternatives to phosphorus to achieve shallow n-type doping of diamond. Experimental results have, however, been contradictory. We report ab initio density functional theory modeling of lithium and sodium in diamond and show that... (Read more)
- 149. Phys. Rev. B 76, 125205 (2007) , “Formation of electron traps in amorphous silica”, Matteo Farnesi Camellone, Joachim C. Reiner, Urs Sennhauser, and Louis SchlapbachThe capture of an electron by a silicon atom in a defect-free bulk of amorphous silica (a-SiO2) has been investigated. Ab initio constrained dynamics has been applied to evaluate the energy barrier. The computed energy barrier involved in the mechanism was found to be 0.23 ... (Read more)
- 150. Phys. Rev. B 76, 125204 (2007) , “Interstitial Fe in Si and its interactions with hydrogen and shallow dopants”, M. Sanati, N. Gonzalez Szwacki, and S. K. EstreicherThe properties of interstitial iron in crystalline silicon and its interactions with hydrogen, shallow acceptors (B, Al, Ga, In, and Tl), and shallow donors (P and As) are calculated from first-principles in periodic supercells. The interactions between the {Fe,B} pair and interstitial hydrogen are... (Read more)
- 151. Phys. Rev. B 76, 075204 (2007) , “Density functional simulations of silicon-containing point defects in diamond”, J. P. Goss, P. R. Briddon, and M. J. ShawSilicon impurities in diamond lead to the appearance of the well known system of 12 lines around 1.681 eV, thought to arise from the silicon-vacancy complex. This system is produced by various treatments suggestive of other silicon-related centers in the material. In order to elucidate possible... (Read more)
- 152. Phys. Rev. B 76, 045203 (2007) , “Metastable Frenkel pairs and the W11–W14 electron paramagnetic resonance centers in diamond”, J. P. Goss, M. J. Rayson, P. R. Briddon, and J. M. BakerDiamond is a material that shows great promise for particle detection applications. However, under irradiation with energetic particles, many thermally stable defects are created, made up of lattice vacancies, self-interstitials, and complexes with impurities. Relatively distant Frenkel... (Read more)
- 153. Phys. Rev. B 75, 245206 (2007) , “Thermal evolution of defects in as-grown and electron-irradiated ZnO studied by positron annihilation”, Z. Q. Chen, S. J. Wang, M. Maekawa, A. Kawasuso, H. Naramoto, X. L. Yuan, and T. SekiguchiVacancy-type defects in as-grown ZnO single crystals have been identified using positron annihilation spectroscopy. The grown-in defects are supposed to be zinc vacancy (VZn)-related defects, and can be easily removed by annealing above 600 °C. VZn-related... (Read more)
- 154. Phys. Rev. B 75, 245202 (2007) , “Identification of positively charged carbon antisite-vacancy pairs in 4H-SiC”, T. Umeda, J. Ishoya, T. Ohshima, N. Morishita, H. Itoh, and A. GaliAn antisite-vacancy pair and a monovacancy are a set of fundamental stable and/or metastable defects in compound semiconductors. Theory predicted that carbon antisite-vacancy pairs would be much more stable in p-type SiC than silicon vacancies and that they would be a common defect. However,... (Read more)
- 155. Phys. Rev. B 75, 195335 (2007) , “Computational and experimental imaging of Mn defects on GaAs (110) cross-sectional surfaces”, A. Stroppa, X. Duan, M. Peressi, D. Furlanetto, and S. ModestiWe present a combined experimental and computational study of the (110) cross-sectional surface of Mn δ-doped GaAs samples. We focus our study on three different selected Mn defect configurations not previously studied in detail, namely surface interstitial Mn, isolated and in pairs, and... (Read more)
- 156. Phys. Rev. B 75, 195215 (2007) , “Effect of Co and O defects on the magnetism in Co-doped ZnO: Experiment and theory”, G. S. Chang, E. Z. Kurmaev, D. W. Boukhvalov, L. D. Finkelstein, S. Colis, T. M. Pedersen, A. Moewes, and A. DiniaThe electronic structure of Zn1−xCoxO (x=0.02, 0.06, and 0.10) diluted magnetic semiconductors is investigated using soft x-ray emission spectroscopy and first-principles calculations. X-ray absorption and emission measurements reveal that most Co... (Read more)
- 157. Phys. Rev. B 75, 195209 (2007) , “Mechanism and energetics of self-interstitial formation and diffusion in silicon”, Ramakrishnan Vaidyanathan, Michael Y. L. Jung, and Edmund G. SeebauerRecent work has suggested that prior determinations of diffusion mechanism and point defect thermodynamics in silicon have been affected by nonequilibrium effects stemming from uncontrolled adsorption-induced suppression of a pathway for defect creation at the surface. Through silicon self-diffusion... (Read more)
- 158. Phys. Rev. B 75, 195208 (2007) , “Supercell and cluster density functional calculations of the thermal stability of the divacancy in germanium”, C. Janke, R. Jones, S. Öberg, and P. R. BriddonLarge vacancy clusters, or voids, formed during crystal growth have been reported in Ge. The divacancy is a precursor to such clusters, and is believed to be stable up to 150 or 180 °C. It is also believed to form in Ge irradiated at room temperature where single vacancies are mobile. Density... (Read more)
- 159. Phys. Rev. B 75, 195207 (2007) , “Effects of optical absorption on 71Ga optically polarized NMR in semi-insulating GaAs: Measurements and simulations”, Stacy Mui, Kannan Ramaswamy, and Sophia E. HayesThe intensity and the hyperfine shift of optically polarized NMR (OPNMR) signals of 71Ga in semi-insulating GaAs have been found to depend on the photon energy and the helicity of light used for optical pumping. Single-crystal GaAs wafers of two different thicknesses, 400 and 175 ... (Read more)
- 160. Phys. Rev. B 75, 195206 (2007) , “Effect of defect-enhanced molecular oxygen adsorption on the imbalance of hole versus electron mobility in conjugated polymers”, Chi-Ken Lu, Shu-Ting Pi, and Hsin-Fei MengThe generally observed higher hole mobility relative to electron mobility in conjugated polymers is explained with the defects and adsorbed molecular oxygen. Adsorption of the extrinsic molecular oxygen leads to that electrons are bound more tightly than holes by the traps in the originally... (Read more)
- 161. Phys. Rev. B 75, 193409 (2007) , “Magnetic properties of vacancies in a graphitic boron nitride sheet by first-principles pseudopotential calculations”, M. S. Si and D. S. XueWe use ab initio methods to calculate the magnetic properties of vacancies in a graphitic boron nitride sheet (g-BN). By applying a full spin-polarized description to the system, we demonstrate that the nitrogen vacancy (VN) or the boron vacancy (VB)... (Read more)
- 162. Phys. Rev. B 75, 193203 (2007) , “Hf defects in c-Si and their importance for the HfO2/Si interface: Density-functional calculations”, Wanderlã L. Scopel, Antônio J. R. da Silva, and A. FazzioWe have studied, using ab initio density functional theory calculations, substitutional and interstitial Hf impurities in c-Si, for various charge states. Our results indicate that (1) the tetrahedral interstitial defect is energetically more favorable than the substitutional and (2)... (Read more)
- 163. Phys. Rev. B 75, 193201 (2007) , “Compensating point defects in 4He+-irradiated InN”, F. Tuomisto, A. Pelli, K. M. Yu, W. Walukiewicz, and W. J. SchaffWe use positron annihilation spectroscopy to study 2 MeV 4He+-irradiated InN grown by molecular-beam epitaxy and GaN grown by metal-organic chemical-vapor deposition. In GaN, the Ga vacancies act as important compensating centers in the irradiated material, introduced at a... (Read more)
- 164. Phys. Rev. B 75, 155204 (2007) , “Defects and carrier compensation in semi-insulating 4H-SiC substrates”, N. T. Son, P. Carlsson, J. ul Hassan, B. Magnusson,, and E. JanzénElectron paramagnetic resonance (EPR) studies revealed that vacancies (VC and VSi), carbon vacancy-antisite pairs (VCCSi) and the divacancy (VCVSi) are common defects in high-purity... (Read more)
- 165. Phys. Rev. B 75, 155202 (2007) , “Annealing of the divacancy-oxygen and vacancy-oxygen complexes in silicon”, M. Mikelsen, J. H. Bleka, J. S. Christensen, E. V. Monakhov, B. G. Svensson, J. Härkönen, and B. S. AvsetAfter low dose electron irradiation, annealing kinetics of divacancy-oxygen (V2O) and vacancy-oxygen (VO) complexes in carbon-lean n-type magnetic Czochralski (MCZ) and diffusion-oxygenated float-zone (DOFZ) Si samples has been studied in detail. The samples were of n type... (Read more)
- 166. Phys. Rev. B 75, 144404 (2007) , “Ferromagnetism in Fe-doped ZnO nanocrystals: Experiment and theory”, Debjani Karmakar, S. K. Mandal, R. M. Kadam, P. L. Paulose, A. K. Rajarajan, T. K. Nath, A. K. Das, I. Dasgupta, and G. P. DasFe-doped ZnO nanocrystals are successfully synthesized and structurally characterized by using x-ray diffraction and transmission electron microscopy. Magnetization measurements on the same system reveal a ferromagnetic to paramagnetic transition temperature above 450 K with a low-temperature... (Read more)
- 167. Phys. Rev. B 75, 144108 (2007) , “Interaction mechanism between edge dislocations and asymmetrical tilt grain boundaries investigated via quasicontinuum simulations”, T. Shimokawa, T. Kinari, and S. ShintakuThe interactions between edge dislocations and grain boundaries—dislocation pileup, dislocation absorption, and dislocation transmission—are studied by performing quasicontinuum simulations. The 112 asymmetrical tilt grain boundaries with different misorientation angles are used. The... (Read more)
- 168. Phys. Rev. B 75, 144103 (2007) , “Multiscale modeling of point defects in Si-Ge(001) quantum wells”, B. Yang and V. K. TewaryA computationally efficient hybrid Green's function (GF) technique is developed for multiscale modeling of point defects in a trilayer lattice system that links seamlessly the length scales from lattice (subnanometers) to continuum (bulk). The model accounts for the discrete structure of the lattice... (Read more)
- 169. Phys. Rev. B 75, 144102 (2007) , “Theoretical investigation of nitrogen substitution in cubic zirconia”, Thomas BredowNitrogen substitution of oxygen ions in cubic zirconia was studied theoretically at density functional level. Nitrogen contents of 12.5 and 3.1% were studied with Zr8O16−mN2 and Zr32O64−mN2 supercells. For... (Read more)
- 170. Phys. Rev. B 75, 144101 (2007) , “NMR studies of metallic tin confined within porous matrices”, E. V. Charnaya, Cheng Tien, M. K. Lee, and Yu. A. Kumzerov119Sn NMR studies were carried out for metallic tin confined within synthetic opal and porous glass. Tin was embedded into nanoporous matrices in the melted state under pressure. The Knight shift for liquid confined tin was found to decrease with decreasing pore size. Correlations between... (Read more)
- 171. Phys. Rev. B 75, 134106 (2007) , “Exact linear response of reacting thermal defects driven by creation processes”, C. P. FlynnThe exact, linear response at steady state is calculated for reacting, but otherwise noninteracting, thermal defects driven by defect creation processes. The theory applies to vacancies and interstitials in the bulk, or to adatoms and advacancies on surface terraces. A wide variety of possible... (Read more)
- 172. Phys. Rev. B 75, 115418 (2007) , “Early stages of radiation damage in graphite and carbon nanostructures: A first-principles molecular dynamics study”, Oleg V. Yazyev, Ivano Tavernelli, Ursula Rothlisberger, and Lothar HelmUnderstanding radiation-induced defect formation in carbon materials is crucial for nuclear technology and for the manufacturing of nanostructures with desired properties. Using first-principles molecular dynamics, we perform a systematic study of the nonequilibrium processes of radiation damage in... (Read more)
- 173. Phys. Rev. B 75, 115206 (2007) , “Local-density-functional calculations of the vacancy-oxygen center in Ge”, A. Carvalho, R. Jones, J. Coutinho, V. J. B. Torres, S. Öberg, J. M. Campanera Alsina, M. Shaw, and P. R. BriddonWe carry out a comprehensive density-functional study of the vacancy-oxygen (VO) center in germanium using large H-terminated Ge clusters. The importance of a nonlinear core correction to account for the involvement of the 3d electrons in Ge-O bonds is discussed. We calculate the electrical... (Read more)
- 174. Phys. Rev. B 75, 115205 (2007) , “Stability of I3 complexes in III-V compound semiconductors by tight-binding molecular dynamics”, G. Zollo and F. GalaIntrinsic interstitials in GaAs are known to have a large formation energy that makes their concentration almost negligible in as-grown materials. However, interstitials must be explicitly considered in implanted GaAs where collision cascades, induced by the energetic ions, produce a large amount of... (Read more)
- 175. Phys. Rev. B 75, 115201 (2007) , “Atomistic modeling of the (a+c)-mixed dislocation core in wurtzite GaN”, I. Belabbas, A. Béré, J. Chen, S. Petit, M. Akli Belkhir, P. Ruterana, and G. NouetAn atomistic simulation of the threading (a+c)-mixed dislocation core in wurtzite GaN has been carried out. Starting from models generated in the framework of continuum elasticity theory, two core configurations are obtained independently by using an empirical potential and a... (Read more)
- 176. Phys. Rev. B 75, 115127 (2007) , “Molecular microelectrostatic view on electronic states near pentacene grain boundaries”, Stijn Verlaak and Paul HeremansGrain boundaries are the most inevitable and pronounced structural defects in pentacene films. To study the effect of those structural defects on the electronic state distribution, the energy levels of a hole on molecules at and near the defect have been calculated using a submolecular... (Read more)
- 177. Phys. Rev. B 75, 115113 (2007) , “Structural, electronic, and magnetic properties of Mn-doped Ge nanowires by ab initio calculations”, J. T. Arantes, Antônio J. R. da Silva, and A. FazzioUsing ab initio total energy density-functional theory calculations, we investigated the electronic, structural, and magnetic properties of manganese-doped germanium nanowires. The nanowires have been constructed along the [110] direction and the dangling bonds on the surface have been... (Read more)
- 178. Phys. Rev. B 75, 115111 (2007) , “Spin dynamics in a weakly itinerant magnet from 29Si NMR in MnSi”, M. Corti, F. Carbone, M. Filibian, Th. Jarlborg, A. A. Nugroho, and P. Carretta29Si NMR spectra and nuclear spin-lattice relaxation rate measurements in MnSi paramagnetic phase are presented. The experimental results are analyzed in the framework of the self-consistent renormalization theory for spin fluctuations, and detailed estimates of microscopic parameters... (Read more)
- 179. Phys. Rev. B 75, 113401 (2007) , “Enhancing the topological structures of defected carbon nanotubes with adsorbed hydrocarbon radicals at low temperatures”, R. L. Zhou, H. Y. He, and B. C. PanRealistic carbon nanotubes (CNTs) contain various structural defects by which the physical and chemical properties of the CNTs are significantly influenced. So, lowering the defect densities is of importance for the potential application of the CNTs. By performing tight-binding molecular dynamics... (Read more)
- 180. Phys. Rev. B 75, 113310 (2007) , “Hole spin polarization in GaAs:Mn/AlAs multiple quantum wells”, V. F. Sapega, O. Brandt, M. Ramsteiner, K. H. Ploog, I. E. Panaiotti, and N. S. AverkievWe study the effect of confinement on the spin polarization of holes bound to Mn acceptors in paramagnetic GaAs:Mn/AlAs multiple quantum wells. It is demonstrated that the polarization of these bound holes is governed by the properties of the host material rather than by quantum confinement. The... (Read more)
- 181. Phys. Rev. B 75, 113201 (2007) , “Local structure around Mn atoms in Si crystals implanted with Mn+ studied using x-ray absorption spectroscopy techniques”, A. Wolska, K. Lawniczak-Jablonska, M. Klepka, M. S. Walczak, and A. MisiukThe local order around Mn atoms in the Mn-implanted Si samples, with ferromagnetic properties, has been investigated by use of x-ray-absorption spectroscopy techniques. Analysis of both extended x-ray-absorption fine structure and x-ray absorption near-edge structure spectra clearly indicates that... (Read more)
- 182. Phys. Rev. B 75, 085439 (2007) , “Real-space investigation of fast diffusion of hydrogen on Si(001) by a combination of nanosecond laser heating and STM”, C. H. Schwalb, M. Lawrenz, M. Dürr,, and U. HöferThe rearrangement of silicon dangling bonds induced by pulsed laser heating of monohydride-covered Si(001) surfaces has been studied by means of scanning tunneling microscopy (STM). The initial configurations, which were created by laser-induced thermal desorption, consist of isolated pairs of... (Read more)
- 183. Phys. Rev. B 75, 085423 (2007) , “Room-temperature atmospheric oxidation of Si nanocrystals after HF etching”, X. D. Pi, L. Mangolini, S. A. Campbell, and U. KortshagenThe effect of HF etching of the silicon oxide shell covering the surface of Si nanocrystals (NCs) on the subsequent room-temperature atmospheric oxidation of Si-NCs has been investigated by means of photoluminescence measurements, Fourier transform infrared spectroscopy, and electron paramagnetic... (Read more)
- 184. Phys. Rev. B 75, 085416 (2007) , “Ab initio theoretical study of hydrogen and its interaction with boron acceptors and nitrogen donors in single-wall silicon carbide nanotubes”, A. GaliSilicon carbide nanotubes have a great potential for biological applications. It is of interest to explore the electronic properties of these nanotubes, and how those are modified in the presence of impurities. Hydrogen is a common impurity that can appear during the growth of silicon carbide... (Read more)
- 185. Phys. Rev. B 75, 085415 (2007) , “Positronium as a probe of transient paramagnetic centers in a-SiO2”, D. B. Cassidy, K. T. Yokoyama, S. H. M. Deng, D. L. Griscom, H. Miyadera, H. W. K. Tom, C. M. Varma, and A. P. Mills, Jr.A laser-pump, positron-probe technique has been developed for measuring the dynamics of laser-induced paramagnetic centers in porous materials. The basis of the technique is the fact that the annihilation rate of ortho-positronium may increase in the presence of unpaired spins. By using an intense... (Read more)
- 186. Phys. Rev. B 75, 085301 (2007) , “Electron backscatter diffraction and electron channeling contrast imaging of tilt and dislocations in nitride thin films”, C. Trager-Cowan, F. Sweeney, P. W. Trimby, A. P. Day, A. Gholinia, N.-H. Schmidt, P. J. Parbrook, A. J. Wilkinson, and I. M. WatsonIn this paper we describe the use of electron backscatter diffraction (EBSD) mapping and electron channeling contrast imaging—in the scanning electron microscope—to study tilt, atomic steps and dislocations in epitaxial GaN thin films. We show results from a series of GaN thin films of... (Read more)
- 187. Phys. Rev. B 75, 085208 (2007) , “Clustering of vacancy defects in high-purity semi-insulating SiC”, R. Aavikko, K. Saarinen, F. Tuomisto, B. Magnusson, N. T. Son, and E. JanzénPositron lifetime spectroscopy was used to study native vacancy defects in semi-insulating silicon carbide. The material is shown to contain (i) vacancy clusters consisting of four to five missing atoms and (ii) Si-vacancy-related negatively charged defects. The total open volume bound to the... (Read more)
- 188. Phys. Rev. B 75, 085205 (2007) , “Luminescence properties of hexagonal boron nitride: Cathodoluminescence and photoluminescence spectroscopy measurements”, M. G. Silly, P. Jaffrennou, J. Barjon, J.-S. Lauret, F. Ducastelle, A. Loiseau, E. Obraztsova, B. Attal-Tretout, and E. RosencherCathodoluminescence and photoluminescence spectroscopies have been performed on hexagonal boron nitride powders. The combination of these techniques allows us to analyze the two observed luminescence bands. A deep-level UV emission at about 4 eV is attributed to defects or impurities, and a... (Read more)
- 189. Phys. Rev. B 75, 085204 (2007) , “Internal structure of the neutral donor-bound exciton complex in cubic zinc-blende and wurtzite semiconductors”, Bernard Gil, Pierre Bigenwald, Mathieu Leroux, Plamen P. Paskov, and Bo MonemarWe calculate the fine structure splitting of the near band edge donor-bound excitons in major cubic semiconductors using an approach inspired by an earlier one that consists in replacing the Morse potential by a Kratzer one, in order to account for the repulsion between the donor and the hole. A... (Read more)
- 190. Phys. Rev. B 75, 085203 (2007) , “Structural and magnetic properties of Mn-implanted Si”, Shengqiang Zhou, K. Potzger, Gufei Zhang, A. Mücklich, F. Eichhorn, N. Schell, R. Grötzschel, B. Schmidt, W. Skorupa, M. Helm, J. Fassbender, and D. GeigerStructural and magnetic properties in Mn-implanted, p-type Si were investigated. High resolution structural analysis techniques such as synchrotron x-ray diffraction revealed the formation of MnSi1.7 nanoparticles already in the as-implanted samples. Depending on the Mn fluence,... (Read more)
- 191. Phys. Rev. B 75, 075420 (2007) , “Ab initio study of hydrogen interaction with pure and nitrogen-doped carbon nanotubes”, Zhiyong Zhang and Kyeongjae ChoDetailed studies of mechanisms for hydrogen dissociative adsorption and diffusion on pure and nitrogen-doped (8, 0) carbon nanotubes are carried out using the first-principles density functional theory method. (1) For pure carbon nanotubes, we have identified the energetically most favorable... (Read more)
- 192. Phys. Rev. B 75, 075316 (2007) , “Theoretical investigation of a Mn-doped Si/Ge heterostructure”, J. T. Arantes, Antônio J. R. da Silva, A. Fazzio, and A. AntonelliWe investigate, through ab initio density-functional theory calculations, the electronic and structural properties of neutral Mn impurities at tetrahedral interstitial and substitutional sites in both Si and Ge layers of a Si/Ge heterostructure. We conclude that substitutional Mn at the Ge... (Read more)
- 193. Phys. Rev. B 75, 075312 (2007) , “Ferromagnetic to ferrimagnetic crossover in Cr-doped GaN nanohole arrays”, Q. Wang, Q. Sun, P. Jena, and Y. KawazoeUsing spin-polarized density-functional theory with exchange and correlation potential, approximated by both the generalized gradient approximation (GGA) and the GGA+U methods, we show that the coupling between a pair of Cr atoms substituted in GaN nanoholes is ferromagnetic. The interaction between... (Read more)
- 194. Phys. Rev. B 75, 075306 (2007) , “Microcrack-induced strain relief in GaN/AlN quantum dots grown on Si(111)”, G. Sarusi, O. Moshe, S. Khatsevich, D. H. Rich, and B. DamilanoThe optical properties of vertically stacked self-assembled GaN/AlN quantum dots (QD's) grown on Si substrates were studied by means of temporally and spatially resolved cathodoluminescence (CL). An analysis of the CL spectra, thermal activation energies, and measured decay times of the QD... (Read more)
- 195. Phys. Rev. B 75, 075304 (2007) , “Damage evolution in low-energy ion implanted silicon”, R. Karmouch, Y. Anahory, J.-F. Mercure, D. Bouilly, M. Chicoine, G. Bentoumi, R. Leonelli, Y. Q. Wang, and F. SchiettekatteThe annealing of damage generated by low-energy ion implantation in polycrystalline silicon (poly-Si) and amorphous silicon (a-Si) is compared. The rate of heat release between implantation temperature and 350–500 °C for Si implanted in both materials and for different ions implanted in... (Read more)
- 196. Phys. Rev. B 75, 075206 (2007) , “Isotope dependence of the vibrational lifetimes of light impurities in Si from first principles”, D. West and S. K. EstreicherThe vibrational lifetimes of a range of H-related defects and interstitial O (Oi) in Si, including isotopic substitutions, are calculated from first principles as a function of temperature. The theoretical approach is explained in detail. The vibrational lifetimes of... (Read more)
- 197. Phys. Rev. B 75, 075202 (2007) , “Theoretical study of Li and Na as n-type dopants for diamond”, J. P. Goss and P. R. BriddonPhosphorus is the n-type dopant of choice for diamond, but results in a deep donor level and alternatives are being sought. One possibility is the incorporation of interstitial alkali metal impurities such as Li and Na. We present the results of density-functional calculations used to predict... (Read more)
- 198. Phys. Rev. B 75, 075201 (2007) , “Influence of isotopic substitution and He coimplantation on defect complexes and voids induced by H ions in silicon”, O. Moutanabbir, B. Terreault, M. Chicoine, F. Schiettekatte, and P. J. SimpsonWe present a detailed study of the comparative thermal evolutions of H- and D-related defects in silicon implanted with 2×1016 H or D/cm2, or coimplanted with 0.25×1016 He/cm2 and 0.7×1016 H/cm2, in both orders.... (Read more)
- 199. Phys. Rev. B 75, 073409 (2007) , “Why thermal H2 molecules adsorb on SiC(001)-c(4×2) and not on SiC(001)-(3×2) at room temperature”, Xiangyang Peng, Peter Krüger, and Johannes PollmannIn a recent experiment, Derycke et al. have made the exciting observation that H2 molecules readily adsorb dissociatively on the c(4×2) but not on the 3×2 surface of SiC(001) at room temperature. To unravel this spectacular reactivity difference, we have investigated a... (Read more)
- 200. Phys. Rev. B 75, 073203 (2007) , “Diffusion mechanisms of native point defects in rutile TiO2: Ab initio total-energy calculations”, Hakim Iddir, Serdar Öüt, Peter Zapol, and Nigel D. BrowningThe structural energetics and diffusion mechanisms of the two most important point defects in rutile TiO2, the oxygen vacancy (VO) and the titanium interstitial (TiI), are examined using the ab initio pseudopotential total-energy method. The two... (Read more)
- 201. Phys. Rev. B 75, 045429 (2007) , “Electron spin relaxation in semiconducting carbon nanotubes: The role of hyperfine interaction”, Y. G. Semenov, K. W. Kim, and G. J. IafrateA theory of electron spin relaxation in semiconducting carbon nanotubes is developed based on the hyperfine interaction with disordered nuclei spins I=1/2 of 13C isotopes. It is shown that strong radial confinement of electrons enhances the electron-nuclear overlap and subsequently... (Read more)
- 202. Phys. Rev. B 75, 045301 (2007) , “Ionization energy of donor and acceptor impurities in semiconductor nanowires: Importance of dielectric confinement”, Mamadou Diarra, Yann-Michel Niquet, Christophe Delerue, and Guy AllanCalculations of the electronic states of donor and acceptor impurities in nanowires show that the ionization energy of the impurities is strongly enhanced with respect to the bulk, above all when the wires are embedded in a material with a low dielectric constant. In free-standing nanowires with... (Read more)
- 203. Phys. Rev. B 75, 045211 (2007) , “Ab initio supercell calculations on aluminum-related defects in SiC”, A. Gali, T. Hornos, N. T. Son, E. Janzén, and W. J. ChoykeAb initio supercell calculations of the binding energies predict complex formation between aluminum and carbon interstitials in SiC. In high-energy implanted SiC aluminum acceptor can form very stable complexes with two carbon interstitials. We also show that carbon vacancy can be attached to... (Read more)
- 204. Phys. Rev. B 75, 045210 (2007) , “Positron trapping kinetics in thermally generated vacancy donor complexes in highly As-doped silicon”, K. Kuitunen, K. Saarinen, and F. TuomistoWe have measured positron lifetime and Doppler broadening in highly As-doped silicon containing thermally generated V-As3 defect complexes (vacancy is surrounded by three arsenic atoms). We observe positron detrapping from the V-As3 defect complex and determine... (Read more)
- 205. Phys. Rev. B 75, 045201 (2007) , “Ab initio models of amorphous Si1−xGex:H”, T. A. Abtew and D. A. DraboldWe study the structural, dynamical, and electronic properties of amorphous Si1−xGex:H alloys using first-principles local basis molecular dynamics techniques. The network topology and defects in the amorphous network have been analyzed. Structural changes,... (Read more)
- 206. Phys. Rev. B 75, 045107 (2007) , “Multiband effects in the electron spin resonance of Gd3+ in the intermediate-valence compound YbAl3 and its reference compound LuAl3”, R. R. Urbano, E. M. Bittar, M. A. Pires, L. Mendonça Ferreira, L. Bufaiçal, C. Rettori, P. G. Pagliuso, B. Magill, S. B. Oseroff, J. D. Thompson, and J. L. SarraoElectron spin resonance (ESR) results of Gd3+ in YbAl3 and LuAl3 are analyzed using a multiband (f-, d-, and p-type) model of correlated conduction electrons. The need for a multiband analysis of our results is based on the following... (Read more)
- 207. Phys. Rev. B 75, 035322 (2007) , “Ab initio study of electronic and magnetic properties of the C-codoped Ga1−xMnxN (100) surface”, Q. Wang, Q. Sun, and P. JenaFirst principles calculations based on gradient corrected density functional theory have been carried out to study the magnetic coupling between Mn atoms in pure and carbon doped Ga1−xMnxN thin films. We show that the ground state of Mn-doped GaN (100) thin... (Read more)
- 208. Phys. Rev. B 75, 035309 (2007) , “Role of hydrogen in hydrogen-induced layer exfoliation of germanium”, J. M. Zahler, A. Fontcuberta i Morral, M. J. Griggs, Harry A. Atwater, and Y. J. ChabalThe role of hydrogen in the exfoliation of Ge is studied using cross-sectional transmission electron microscopy, atomic force microscopy, and multiple-internal transmission mode Fourier-transform infrared absorption spectroscopy and compared with the mechanism in silicon. A qualitative model for the... (Read more)
- 209. Phys. Rev. B 75, 035211 (2007) , “Self- and foreign-atom diffusion in semiconductor isotope heterostructures. II. Experimental results for silicon”, H. Bracht, H. H. Silvestri, I. D. Sharp, and E. E. HallerWe report the diffusion of boron, arsenic, and phosphorus in silicon isotope multilayer structures at temperatures between 850 °C and 1100 °C. The diffusion of all dopants and self-atoms at a given temperature is modeled with the same setting of all native-point-defect-related parameters.... (Read more)
- 210. Phys. Rev. B 75, 035210 (2007) , “Self- and foreign-atom diffusion in semiconductor isotope heterostructures. I. Continuum theoretical calculations”, H. BrachtDopant diffusion experiments in semiconductors yield the mobility of the element of interest and information about the possible mechanisms of atomic diffusion. In many cases the diffusion is described on the basis of Fick's law of diffusion, but this treatment is often too simple. In this paper,... (Read more)
- 211. Phys. Rev. B 75, 033303 (2007) , “Excitons in silicon nanocrystallites: The nature of luminescence”, Eleonora Luppi, Federico Iori, Rita Magri, Olivia Pulci, Stefano Ossicini, Elena Degoli, and Valerio OlevanoThe absorption and emission spectra of silicon nanocrystals up to 1 nm diameter have been calculated within a first-principles framework. Our calculations include geometry optimization and the many-body effects induced by the creation of an electron-hole pair. Starting from hydrogenated silicon... (Read more)
- 212. Phys. Rev. B 75, 033301 (2007) , “Microscopic evidence for evolution of superconductivity by effective carrier doping in boron-doped diamond: 11B-NMR study”, H. Mukuda, T. Tsuchida, A. Harada, Y. Kitaoka, T. Takenouchi, Y. Takano, M. Nagao, I. Sakaguchi, T. Oguchi, and H. KawaradaWe have investigated the superconductivity discovered in boron-doped diamonds by means of 11B-NMR on heteroepitaxially grown (111) and (100) films. 11B-NMR spectra for all of the films are identified to arise from the substitutional B(1) site as single occupation and lower... (Read more)
- 213. Phys. Rev. B 75, 024205 (2007) , “Mechanical strength and coordination defects in compressed silica glass: Molecular dynamics simulations”, Yunfeng Liang, Caetano R. Miranda, and Sandro ScandoloContrary to ordinary solids, which are normally known to harden by compression, the compressibility of SiO2 (silica) glass has a maximum at about 2–4 GPa and its mechanical strength shows a minimum around 10 GPa. At this pressure, the compression of silica glass undergoes a change... (Read more)
- 214. Phys. Rev. B 75, 024109 (2007) , “Optical and EPR properties of point defects at a crystalline silica surface: Ab initio embedded-cluster calculations”, L. Giordano, P. V. Sushko, G. Pacchioni, and A. L. ShlugerWe have studied the structure and spectroscopic properties of the paramagnetic nonbridging oxygen hole center and of the Egamma[prime]" align="middle"> center at the hydroxylated silica surfaces using density functional theory and an embedded-cluster model. To investigate the... (Read more)
- 215. Phys. Rev. B 75, 014111 (2007) , “Effects of vacancies on the properties of disordered ferroelectrics: A first-principles study”, L. Bellaiche, Jorge Íñiguez, Eric Cockayne, and B. P. BurtonA first-principles-based model is developed to investigate the influence of lead vacancies on the properties of the disordered Pb(Sc1/2Nb1/2)O3 (PSN) ferroelectric. Lead vacancies generate large, inhomogeneous, electric fields that reduce barriers between energy... (Read more)
- 216. Phys. Rev. B 75, 014102 (2007) , “First-principles study of vacancy formation in hydroxyapatite”, Katsuyuki Matsunaga and Akihide KuwabaraFirst-principles plane-wave calculations were performed for hydroxyapatite (HAp) in order to investigate the electronic structure and vacancy formation mechanisms. The HAp unit cell contains PO4 tetrahedra and OH groups formed by covalent P-O and H-O bonds. Ca ions play a role for... (Read more)
- 217. Phys. Rev. Lett. 99, 175502 (2007) , “Self-Interstitial in Germanium”, A. Carvalho, R. Jones, C. Janke, J. P. Goss, P. R. Briddon, J. Coutinho, and S. ÖbergLow-temperature radiation damage in n- and p-type Ge is strikingly different, reflecting the charge-dependent properties of vacancies and self-interstitials. We find, using density functional theory, that in Ge the interstitial is bistable, preferring a split configuration when neutral... (Read more)
- 218. Phys. Rev. Lett. 99, 136801 (2007) , “Electron Trapping at Point Defects on Hydroxylated Silica Surfaces”, Livia Giordano, Peter V. Sushko, Gianfranco Pacchioni, and Alexander L. ShlugerThe origin of electron trapping and negative charging of hydroxylated silica surfaces is predicted based on accurate quantum-mechanical calculations. The calculated electron affinities of the two dominant neutral paramagnetic defects, the nonbridging oxygen center, Si-O•, and the... (Read more)
- 219. Phys. Rev. Lett. 99, 085502 (2007) , “Nature of Native Defects in ZnO”, F. A. Selim, M. H. Weber, D. Solodovnikov, and K. G. LynnThis study revealed the nature of native defects and their roles in ZnO through positron annihilation and optical transmission measurements. It showed oxygen vacancies are the origin for the shift in the optical absorption band that causes the red or orange coloration. It also revealed experimental... (Read more)
- 220. Phys. Rev. Lett. 98, 265502 (2007) , “Monovacancy and Interstitial Migration in Ion-Implanted Silicon”, P. G. Coleman and C. P. BurrowsThe migration of monovacancies (V0) and self-interstitials (I) has been observed in ion-implanted low-doped float-zone silicon by variable-energy positron annihilation spectroscopy. V0 and I were created by the in situ implantation of ~20 keV... (Read more)
- 221. Phys. Rev. Lett. 98, 252501 (2007) , “Radioactive Decay Speedup at T=5 K: Electron-Capture Decay Rate of 7Be Encapsulated in C60”, T. Ohtsuki, K. Ohno, T. Morisato, T. Mitsugashira, K. Hirose, H. Yuki, and J. KasagiThe electron-capture (EC) decay rate of 7Be in C60 at the temperature of liquid helium (T=5 K) was measured and compared with the rate in Be metal at T=293 K. We found that the half-life of 7Be in endohedral C60... (Read more)
- 222. Phys. Rev. Lett. 98, 227401 (2007) , “Formation of Hydrogen Impurity States in Silicon and Insulators at Low Implantation Energies”, T. Prokscha, E. Morenzoni, D. G. Eshchenko, N. Garifianov, H. Glückler, R. Khasanov, H. Luetkens, and A. SuterThe formation of hydrogenlike muonium (Mu) has been studied as a function of implantation energy in intrinsic Si, thin films of condensed van der Waals gases (N2, Ne, Ar, Xe), fused and crystalline quartz, and sapphire. By varying the initial energy of positive muons... (Read more)
- 223. Phys. Rev. Lett. 98, 220501 (2007) , “Efficient Dynamic Nuclear Polarization at High Magnetic Fields”, Gavin W. Morley, Johan van Tol, Arzhang Ardavan, Kyriakos Porfyrakis, Jinying Zhang, and G. Andrew D. BriggsBy applying a new technique for dynamic nuclear polarization involving simultaneous excitation of electronic and nuclear transitions, we have enhanced the nuclear polarization of the nitrogen nuclei in 15N@C60 by a factor of 103 at a fixed temperature of 3 K and a... (Read more)
- 224. Phys. Rev. Lett. 98, 216803 (2007) , “High Mobility in LaAlO3/SrTiO3 Heterostructures: Origin, Dimensionality, and Perspectives”, G. Herranz, M. Basletić, M. Bibes, C. Carrétéro, E. Tafra, E. Jacquet, K. Bouzehouane, C. Deranlot, A. Hamzić, J.-M. Broto, A. Barthélémy, and A. FertWe have investigated the dimensionality and origin of the magnetotransport properties of LaAlO3 films epitaxially grown on TiO2-terminated SrTiO3(001) substrates. High-mobility conduction is observed at low deposition oxygen pressures... (Read more)
- 225. Phys. Rev. Lett. 98, 216601 (2007) , “Room Temperature Electrical Detection of Spin Coherence in C60”, W. Harneit, C. Boehme, S. Schaefer, K. Huebener, K. Fostiropoulos, and K. LipsAn experimental demonstration of electrical detection of coherent spin motion of weakly coupled, localized electron spins in thin fullerene C60 films at room temperature is presented. Pulsed electrically detected magnetic resonance experiments on vertical photocurrents through... (Read more)
- 226. Phys. Rev. Lett. 98, 216103 (2007) , “Influence of Cumulenic Chains on the Vibrational and Electronic Properties of sp-sp2 Amorphous Carbon”, L. Ravagnan, P. Piseri, M. Bruzzi, S. Miglio, G. Bongiorno, A. Baserga, C. S. Casari, A. Li Bassi, C. Lenardi, Y. Yamaguchi, T. Wakabayashi, C. E. Bottani, and P. MilaniWe report the production and characterization of a form of amorphous carbon with sp-sp2 hybridization (atomic fraction of sp hybridized species 20%) where the predominant sp bonding appears to be (=C=C=)n cumulene.... (Read more)
- 227. Phys. Rev. Lett. 98, 215503 (2007) , “Nature of the Bound States of Molecular Hydrogen in Carbon Nanohorns”, F. Fernandez-Alonso, F. J. Bermejo, C. Cabrillo, R. O. Loutfy, V. Leon, and M. L. SaboungiThe effects of confining molecular hydrogen within carbon nanohorns are studied via high-resolution quasielastic and inelastic neutron spectroscopies. Both sets of data are remarkably different from those obtained in bulk samples in the liquid and crystalline states. At temperatures where bulk... (Read more)
- 228. Phys. Rev. Lett. 98, 206406 (2007) , “Role of Intermolecular Coupling in the Photophysics of Disordered Organic Semiconductors: Aggregate Emission in Regioregular Polythiophene”, Jenny Clark, Carlos Silva, Richard H. Friend, and Frank C. SpanoWe address the role of excitonic coupling on the nature of photoexcitations in the conjugated polymer regioregular poly(3-hexylthiophene). By means of temperature-dependent absorption and photoluminescence spectroscopy, we show that optical emission is overwhelmingly dominated by weakly coupled H... (Read more)
- 229. Phys. Rev. Lett. 98, 206403 (2007) , “H-Induced Dangling Bonds in H–Isoelectronic-Impurity Complexes Formed in GaAs1-yNy Alloys”, A. Amore Bonapasta, F. Filippone, and G. MattioliComplexes formed by H and the isoelectronic impurity N in GaAs1-yNy alloys have been widely investigated because the significant effects of N on the GaAs properties and their passivation by H represent a unique tool for a defect engineering of semiconductors.... (Read more)
- 230. Phys. Rev. Lett. 98, 196802 (2007) , “Origin of Charge Density at LaAlO3 on SrTiO3 Heterointerfaces: Possibility of Intrinsic Doping”, Wolter Siemons, Gertjan Koster, Hideki Yamamoto, Walter A. Harrison, Gerald Lucovsky, Theodore H. Geballe, Dave H. A. Blank, and Malcolm R. BeasleyAs discovered by Ohtomo and Hwang, a large sheet charge density with high mobility exists at the interface between SrTiO3 and LaAlO3. Based on transport, spectroscopic, and oxygen-annealing experiments, we conclude that extrinsic defects in the form of oxygen vacancies... (Read more)
- 231. Phys. Rev. Lett. 98, 196101 (2007) , “Oxygen Vacancies in High Dielectric Constant Oxide-Semiconductor Films”, Supratik Guha and Vijay NarayananWe provide evidence that the oxygen vacancy is a dominant intrinsic electronic defect in nanometer scaled hafnium oxide dielectric films on silicon, relevant to microelectronics technology. We demonstrate this by developing a general model for the kinetics of oxygen vacancy formation in... (Read more)
- 232. Phys. Rev. Lett. 98, 186804 (2007) , “Room-Temperature Electron Spin Dynamics in Free-Standing ZnO Quantum Dots”, William K. Liu, Kelly M. Whitaker, Alyssa L. Smith, Kevin R. Kittilstved, Bruce H. Robinson, and Daniel R. GamelinConduction band electrons in colloidal ZnO quantum dots have been prepared photochemically and examined by electron paramagnetic resonance spectroscopy. Nanocrystals of 4.6 nm diameter containing single S-shell conduction band electrons have g*=1.962 and a room-temperature... (Read more)
- 233. Phys. Rev. Lett. 98, 185501 (2007) , “Enhanced Ductile Behavior of Tensile-Elongated Individual Double-Walled and Triple-Walled Carbon Nanotubes at High Temperatures”, J. Y. Huang, S. Chen, Z. F. Ren, Z. Wang, K. Kempa, M. J. Naughton, G. Chen, and M. S. DresselhausWe report exceptional ductile behavior in individual double-walled and triple-walled carbon nanotubes at temperatures above 2000 °C, with tensile elongation of 190% and diameter reduction of 90%, during in situ tensile-loading experiments conducted inside a high-resolution transmission... (Read more)
- 234. Phys. Rev. Lett. 98, 146403 (2007) , “Evidence for an Oxygen Diffusion Model for the Electric Pulse Induced Resistance Change Effect in Transition-Metal Oxides”, Y. B. Nian, J. Strozier, N. J. Wu, X. Chen, and A. IgnatievElectric-pulse induced resistance hysteresis switching loops for Pr0.7Ca0.3MnO3 perovskite oxide films were found to exhibit an additional sharp “shuttle tail” peak around the negative pulse maximum for films deposited in an oxygen-deficient ambient. The... (Read more)
- 235. Phys. Rev. Lett. 98, 137202 (2007) , “Magnetizing Oxides by Substituting Nitrogen for Oxygen”, I. S. Elfimov, A. Rusydi, S. I. Csiszar, Z. Hu, H. H. Hsieh, H.-J. Lin, C. T. Chen, R. Liang, and G. A. SawatzkyWe describe a possible pathway to new magnetic materials with no conventional magnetic elements present. The substitution of nitrogen for oxygen in simple nonmagnetic oxides leads to holes in N 2p states which form local magnetic moments. Because of the very large Hund's rule coupling of... (Read more)
- 236. Phys. Rev. Lett. 98, 135506 (2007) , “Possible Approach to Overcome the Doping Asymmetry in Wideband Gap Semiconductors”, Yanfa Yan, Jingbo Li, Su-Huai Wei, and M. M. Al-JassimThe asymmetry doping problem has severely hindered the potential applications of many wideband gap (WBG) materials. Here, we propose a possible approach to overcome this long-standing doping asymmetry problem for WBG semiconductors. Our approach is based on the reduction of the ionization energies... (Read more)
- 237. Phys. Rev. Lett. 98, 117202 (2007) , “Density-Functional Theory Study of Half-Metallic Heterostructures: Interstitial Mn in Si”, Hua Wu, Peter Kratzer, and Matthias SchefflerUsing density-functional theory within the generalized gradient approximation, we show that Si-based heterostructures with 1/4 layer δ doping of interstitial Mn (Mnint) are half-metallic. For Mnint concentrations of 1/2 or 1 layer, the states induced in the band... (Read more)
- 238. Phys. Rev. Lett. 98, 115503 (2007) , “Oxygen Vacancy Clustering and Electron Localization in Oxygen-Deficient SrTiO3: LDA+U Study”, Do Duc Cuong, Bora Lee, Kyeong Mi Choi, Hyo-Shin Ahn, Seungwu Han, and Jaichan LeeWe find, using a local density approximation +Hubbard U method, that oxygen vacancies tend to cluster in a linear way in SrTiO3, a prototypical perovskite oxide, accompanied by strong electron localization at the 3d state of the nearby Ti transition metal ion. The vacancy... (Read more)
- 239. Phys. Rev. Lett. 98, 096805 (2007) , “Observation of the Linear Stark Effect in a Single Acceptor in Si”, L. E. Calvet, R. G. Wheeler, and M. A. ReedThe Stark splitting of a single fourfold degenerate impurity located within the built-in potential of a metal-semiconductor contact is investigated using low temperature transport measurements. A model is developed and used to analyze transport as a function of temperature, bias voltage, and... (Read more)
- 240. Phys. Rev. Lett. 98, 095901 (2007) , “Experimental Evidence of the Vacancy-Mediated Silicon Self-Diffusion in Single-Crystalline Silicon”, Yasuo Shimizu, Masashi Uematsu, and Kohei M. ItohWe have determined silicon self-diffusivity at temperatures 735–875 °C based on the Raman shift of longitudinal optical phonon frequencies of diffusion annealed 28Si/30Si isotope superlattices. The activation enthalpy of 3.6 eV is obtained in such low temperature... (Read more)
- 241. Phys. Rev. Lett. 98, 077601 (2007) , “Multiple-Pulse Coherence Enhancement of Solid State Spin Qubits”, W. M. Witzel and S. Das SarmaWe describe how the spin coherence time of a localized electron spin in solids, i.e., a solid state spin qubit, can be prolonged by applying designed electron spin resonance pulse sequences. In particular, the spin echo decay due to the spectral diffusion of the electron spin resonance frequency... (Read more)
- 242. Phys. Rev. Lett. 98, 075503 (2007) , “Pseudoclimb and Dislocation Dynamics in Superplastic Nanotubes”, Feng Ding, Kun Jiao, Mingqi Wu, and Boris I. YakobsonPlastic relaxation of carbon nanotubes under tension and at high temperature is described in terms of dislocation theory and with atomistic computer simulations. It is shown how the glide of pentagon-heptagon defects and a particular type of their pseudoclimb, with the atoms directly breaking out of... (Read more)
- 243. Phys. Rev. Lett. 98, 055504 (2007) , “Ortho-Para Conversion of Interstitial H2 in Si”, M. Hiller, E. V. Lavrov, and J. WeberOrtho-para conversion of isolated interstitial H2 in single-crystalline Si is studied by Raman scattering. This process is suggested to be caused by the interaction of H2 with the nuclear magnetic moment of 29Si. At 77 K the ortho-to-para conversion rate is... (Read more)
- 244. Phys. Rev. Lett. 98, 045501 (2007) , “Dopability, Intrinsic Conductivity, and Nonstoichiometry of Transparent Conducting Oxides”, Stephan Lany and Alex ZungerExisting defect models for In2O3 and ZnO are inconclusive about the origin of conductivity, nonstoichiometry, and coloration. We apply systematic corrections to first-principles calculated formation energies ΔH, and validate our theoretical defect model against... (Read more)
- 245. Phys. Rev. Lett. 98, 026802 (2007) , “Microscopic Basis for the Mechanism of Carrier Dynamics in an Operating p-n Junction Examined by Using Light-Modulated Scanning Tunneling Spectroscopy”, Shoji Yoshida, Yuya Kanitani, Ryuji Oshima, Yoshitaka Okada, Osamu Takeuchi, and Hidemi ShigekawaThe doping characteristics and carrier transport in a GaAs p-n junction were visualized with a ~10 nm spatial resolution, using light-modulated scanning tunneling spectroscopy. The dynamics of minority carriers under operating conditions, such as recombination, diffusion, and electric... (Read more)
- 246. Phys. Rev. Lett. 98, 026801 (2007) , “Surface Dangling-Bond States and Band Lineups in Hydrogen-Terminated Si, Ge, and Ge/Si Nanowires”, R. Kagimura, R. W. Nunes, and H. ChachamWe report an ab initio study of the electronic properties of surface dangling-bond (SDB) states in hydrogen-terminated Si and Ge nanowires with diameters between 1 and 2 nm, Ge/Si nanowire heterostructures, and Si and Ge (111) surfaces. We find that the charge transition levels ε(+/-)... (Read more)
- 247. Phys. Rev. Lett. 98, 026101 (2007) , “Bonding at the SiC-SiO2 Interface and the Effects of Nitrogen and Hydrogen”, Sanwu Wang, S. Dhar, Shu-rui Wang, A. C. Ahyi, A. Franceschetti, J. R. Williams, L. C. Feldman,, and Sokrates T. PantelidesUnlike the Si-SiO2 interface, the SiC-SiO2 interface has large defect densities. Though nitridation has been shown to reduce the defect density, the effect of H remains an open issue. Here we combine experimental data and the results of first-principles calculations to... (Read more)
- 248. Phys. Rev. Lett. 98, 015501 (2007) , “First-Principles Simulations of Boron Diffusion in Graphite”, I. Suarez-Martinez, A. A. El-Barbary, G. Savini, and M. I. HeggieBoron strongly modifies electronic and diffusion properties of graphite. We report the first ab initio study of boron interaction with the point defects in graphite, which includes structures, thermodynamics, and diffusion. A number of possible diffusion mechanisms of boron in graphite are... (Read more)
- 249. phys. stat. sol. (b) 244, 437 (2007) , Wiley InterScience , “Thermoelectric properties and phase transitions of II-VI semiconductors at ultrahigh pressure”, V.V. Shchennikov, S.V. OvsyannikovThe high-pressure phase transitions in II-VI semiconductors (HgTe, HgSe, HgS, HgO, CdTe, CdSe, CdS, ZnTe, ZnSe, ZnS, ZnO) are analyzed in light of recent findings. A contribution is emphasized of the thermoelectric power (Seebeck effect) technique to registration of new electronic phases and to... (Read more)
- 250. phys. stat. sol. (b) 244, 279 (2007) , Wiley InterScience , “High-pressure X-ray diffraction study of ternary and non-stoichiometric PbTe and PbSe crystals”, S.V. Ovsyannikov, V.V. Shchennikov, A.Y. Manakov, A.Y. Likhacheva, I.F. Berger, A.I. Ancharov, M.A. SheromovThe paper reports the pressure-induced phase transitions observed in ternary PbTe and PbSe compounds (Pb1-x Snx Te, Pb1-x Mnx Te, Pb1-x Snx Se) and non-stoichiometric PbTe ones... (Read more)
- 251. Physica B 401, 250 (2007) , Elsevier , “Doubly charged state of EL2 defect in MOCVD-grown GaAs ”, Nazir A. Naz, Umar S. Qurashi, Abdul Majid and M. Zafar IqbalEL2 is the ubiquitous native defect in crystalline GaAs grown by a variety of different techniques. It has been proposed to be a doubly charged deep-level center with two states having distinct energy levels in the band gap. While the singly charged state has been the subject of many experimental studies and is, therefore, well established, the doubly charged state has only been occasionally alluded to in the literature. This paper provides evidence for a dominant inadvertent deep level in p-type GaAs most likely to be the doubly charged state of the EL2 center. Deep-level transient spectroscopy (DLTS) has been applied to characterize epitaxial layers of p-type GaAs grown on p+ GaAs substrates by low-pressure metal organic chemical vapor deposition (LP-MOCVD). A pronounced peak is observed in the majority carrier (hole) emission deep-level spectra. Thermal emission rate of holes from the corresponding deep level is found to exhibit a strong electric field dependence, showing an increase of more than two orders of magnitude with an increase of the electric field by a factor of~2. The thermal activation energy for this level is found to vary from 0.29 to 0.61 eV as the electric field is varied from 2.8×105 to 1.4×105 V/cm. Direct pulse-filling measurements point to a temperature-dependent behavior of the hole capture cross section of this level. We identify this inadvertent deep-level defect, commonly observed in p-type AsGa grown by a variety of different methods, with the doubly charged state of the well-known AsGa antisite related defect, EL2. (Read more)
- 252. Advances in Science and Technology 46, 73 (2006) , Trans Tech Publications, Switzerland , “Electric-Field-Enhanced Thermal Emission from Osmium-Related”, M. Zafar Iqbal, A. Majid, A. Dadgar and D. BimbergDeep-level defects related with 5d transition metal, osmium (Os) have been studied in ntype GaAs. Os has been incorporated in epitaxial n-GaAs layers in situ, during growth by lowpressure metal-organic chemical vapour phase epitaxy (MOVPE) technique. Mesa p+nn+ junction diodes are fabricated for investigations by deep level transient spectroscopy (DLTS). Two deeplevel peaks, observed in majority carrier (electron) emission spectra, Os1 and Os2, show a significant shift in peak positions to lower temperatures with the applied junction reverse bias, demonstrating enhancement of the thermal emission rate by the junction electric field. Doublecorrelation DLTS (DDLTS) measurements have been employed for accurate quantitative investigations of the observed field dependence. However, in view of the relatively small concentration of the deep level Os1, this technique is found to yield reliable data only for the deep level corresponding to the dominant peak, Os2. Detailed data have been obtained on the field effect for Os2, extending over junction electric field values 3 x 106 V/m - 1.2 x 107 V/m. The measured emission rate signatures show a reduction of the thermal activation energy from 0.48 eV to 0.21 eV for Os2 over this electric field range. Analysis of the data in terms of the recent theoretical work on field dependence indicates that Os2 is associated with a substitutional Os donor. (Read more)
- 253. Appl. Phys. Lett. 89, 262904 (2006) , “Oxygen vacancy in monoclinic HfO2: A consistent interpretation of trap assisted conduction, direct electron injection, and optical absorption experiments”, Peter Broqvist and Alfredo PasquarelloThe authors calculate energy levels associated with the oxygen vacancy in monoclinic HfO2 using a hybrid density functional which accurately reproduces the experimental band gap. The most stable charge states are obtained for varying Fermi level in the HfO2 band gap. To compare... (Read more)
- 254. Appl. Phys. Lett. 89, 262118 (2006) , “p-type conductivity and donor-acceptor pair emission in Cd1−xFexS dilute magnetic semiconductors”, X. J. Wu, D. Z. Shen, Z. Z. Zhang, J. Y. Zhang, K. W. Liu, B. H. Li, Y. M. Lu, D. X. Zhao, and B. YaoCd1−xFexS thin films with different Fe contents were grown on c-plane sapphire by low-pressure metal organic chemical vapor deposition. The resistivity of the thin films was found to increase with the addition of more Fe contents into the... (Read more)
- 255. Appl. Phys. Lett. 89, 262112 (2006) , “Identification of oxygen and zinc vacancy optical signals in ZnO”, T. Moe Børseth, B. G. Svensson, A. Yu. Kuznetsov, P. Klason, Q. X. Zhao, and M. WillanderPhotoluminescence spectroscopy has been used to study single crystalline ZnO samples systematically annealed in inert, Zn-rich and O-rich atmospheres. A striking correlation is observed between the choice of annealing ambient and the position of the deep band emission (DBE) often detected in ZnO. In... (Read more)
- 256. Appl. Phys. Lett. 89, 253124 (2006) , “White electroluminescence from C- and Si-rich thin silicon oxides”, O. Jambois, B. Garrido, P. Pellegrino, Josep Carreras, A. Pérez-Rodríguez, J. Montserrat, C. Bonafos, G. BenAssayag, and S. SchammWhite electroluminescence from carbon- and silicon-rich silicon oxide layers is reported. The films were fabricated by Si and C ion implantation at low energy in 40 nm thick SiO2, followed by annealing at 1100 °C. Structural and optical studies allow assigning the... (Read more)
- 257. Appl. Phys. Lett. 89, 252115 (2006) , “Doping and defects in the formation of single-crystal ZnO nanodisks”, Junjie Qi, Yue Zhang, Yunhua Huang, Qingliang Liao, and Juan LiuHigh purity growth of polar surface dominated ZnO nanodisks was fabricated by introducing In ions in the raw material by thermal evaporation process without a catalyst. The nature of the sharp-contrast lines in the disks was investigated. The results suggested that the existence of sharp-contrast... (Read more)
- 258. Appl. Phys. Lett. 89, 252110 (2006) , “Fermi-level pinning and charge neutrality level in germanium”, A. Dimoulas, P. Tsipas, A. Sotiropoulos, and E. K. EvangelouThe Schottky barrier height in metal/Ge contacts shows weak dependence on the metal work function indicating strong Fermi-level pinning close to the Bardeen limit. The pinning factor S is about 0.05 and the charge neutrality level (CNL) is only about 0.09 eV above the top of the valence... (Read more)
- 259. Appl. Phys. Lett. 89, 243101 (2006) , “Random telegraph signals and noise behaviors in carbon nanotube transistors”, Fei Liu, Kang L. Wang, Daihua Zhang, and Chongwu ZhouA random telegraph signal appears at a smaller absolute gate bias for a larger absolute drain-source bias in a carbon nanotube transistor. Its mechanism is attributed to a defect located in the drain side of the Schottky barrier carbon nanotube transistor with Ti/Au as contact material. Furthermore,... (Read more)
- 260. Appl. Phys. Lett. 89, 242113 (2006) , “Thermally stimulated current studies on deep levels in hydrothermally grown single crystal ZnO bulk”, K. Kuriyama, M. Ooi, K. Matsumoto, and K. KushidaThe evaluation of the deep levels in hydrothermally grown ZnO single crystal bulk is studied using a thermally stimulated current (TSC) method with excitation above (below) the band gap. Two broad TSC spectra are resolved by four traps, P1 (165 meV), P2 (255 ... (Read more)
- 261. Appl. Phys. Lett. 89, 241911 (2006) , “Defect and stress characterization of AlN films by Raman spectroscopy”, Vanni Lughi and David R. ClarkeRaman spectroscopy was used to characterize the residual stress and defect density of AlN thin films reactively sputtered on silicon (100). The authors studied the correlation between the shift of the E2 (high) phonon of AlN at 658 cm−1 and the film biaxial stress... (Read more)
- 262. Appl. Phys. Lett. 89, 232112 (2006) , “Determination at 300 K of the hole capture cross section of chromium-boron pairs in p-type silicon”, S. Dubois, O. Palais, and P. J. RibeyronMeasurement of dissolved chromium concentration in p-type crystalline silicon by means of the change in carrier lifetime due to chromium-boron pair dissociation requires precise knowledge of the recombination parameters of dissolved chromium in silicon. This work, based on quasi-steady-state... (Read more)
- 263. Appl. Phys. Lett. 89, 232111 (2006) , “Electron-beam-induced dissociation of B–D complexes in diamond”, J. Barjon, J. Chevallier, F. Jomard, C. Baron, and A. DeneuvilleThe diffusion of deuterium in boron-doped homoepitaxial diamond films leads to the passivation of boron acceptors via the formation of B–D complexes. In this letter, the stability of B–D pairs is investigated under the stress of a low-energy (10 keV) electron-beam irradiation at low... (Read more)
- 264. Appl. Phys. Lett. 89, 231906 (2006) , “Threading dislocation reduction by SiGeC domains in SiGe/SiGeC heterostructure: Role of pure edge dislocations”, L. H. Wong, C. Ferraris, C. C. Wong, and J. P. LiuThe authors previously reported an unusual phenomenon of strain relaxation accompanied by a reduction in threading dislocation density (TDD) on a Si0.77Ge0.23 layer grown on top of alternating layers of... (Read more)
- 265. Appl. Phys. Lett. 89, 231901 (2006) , “Cathodoluminescence investigations of GaInNAs on GaAs(111)B”, J. Miguel-Sánchez, U. Jahn, A. Guzmán, and E. MuñozIn this work, we present a detailed cathodoluminescence characterization of GaInNAs quantum wells grown on GaAs(111)B. As-grown and annealed InGaAs and GaInNAs quantum wells were maeasured and compared by spatially resolved cathodoluminescence at different photon energies. In the case of... (Read more)
- 266. Appl. Phys. Lett. 89, 223502 (2006) , “Identification of trapping defects in 4H-silicon carbide metal-insulator-semiconductor field-effect transistors by electrically detected magnetic resonance”, Morgen S. Dautrich, Patrick M. Lenahan, and Aivars J. LelisIn conventional Si/SiO2-based metal oxide semiconductor devices, performance-limiting semiconductor/dielectric interface traps are localized precisely at the Si/SiO2 boundary. The authors show that in high-quality SiC/SiO2-based devices, this is not necessarily the... (Read more)
- 267. Appl. Phys. Lett. 89, 222103 (2006) , “Comparison of near-interface traps in Al2O3/4H-SiC and Al2O3/SiO2/4H-SiC structures”, Marc Avice, Ulrike Grossner, Ioana Pintilie, Bengt G. Svensson, Ola Nilsen, and Helmer FjellvagAluminum oxide (Al2O3) has been grown by atomic layer deposition on n-type 4H-SiC with and without a thin silicon dioxide (SiO2) intermediate layer. By means of capacitance-voltage and thermal dielectric relaxation current measurements, the interface... (Read more)
- 268. Appl. Phys. Lett. 89, 222101 (2006) , “Reversible creation and annihilation of a local leakage path in HfO2/GeOx stacked gate dielectrics: A direct observation by ultrahigh vacuum conducting atomic force microscopy”, K. Yamamura, K. Kita, A. Toriumi, and K. KyunoBy direct observation using ultrahigh vacuum conducting atomic force microscopy, it is found that a local leakage path in HfO2/GeOx stacks created by an electrical stress with a positive tip bias annihilates after applying a reverse tip bias. The creation and... (Read more)
- 269. Appl. Phys. Lett. 89, 211914 (2006) , “Molecular hydrogen formation in hydrogenated silicon nitride”, H. F. W. Dekkers, G. Beaucarne, M. Hiller, H. Charifi, and A. SlaouiHydrogen is released from hydrogenated silicon nitride (SiNx:H) during thermal treatments. The formation of molecular hydrogen (H2) in SiNx:H layers with low mass density is confirmed by Raman spectroscopy. However, no H2 is observed in... (Read more)
- 270. Appl. Phys. Lett. 89, 211114 (2006) , “Site selective studies of Er3+ emission centers in Er-implanted 6H-SiC”, V. Glukhanyuk and A. KozaneckiIn this work the high resolution site selective photoluminescence (PL) using Fourier transform spectrometer and PL excitation spectra near 1.54 µm in Er-implanted 6H-SiC were investigated. Direct evidence for the existence of three different Er3+ emitting centers... (Read more)
- 271. Appl. Phys. Lett. 89, 202904 (2006) , “Effects of O vacancies and C doping on dielectric properties of ZrO2: A first-principles study”, Gargi Dutta, K. P. S. S. Hembram, G. Mohan Rao, and Umesh V. WaghmareThe authors determine electronic properties, structural stability, and dielectric response of zirconia (ZrO2) with oxygen vacancies (O vacancies) and carbon doping (C doping) using first-principles density functional theory calculations based on pseudopotentials and a plane wave basis.... (Read more)
- 272. Appl. Phys. Lett. 89, 202114 (2006) , “Deep level transient spectroscopy study of Pd and Pt sputtering damage in n-type germanium”, E. Simoen, K. Opsomer, C. Claeys, K. Maex, C. Detavernier, R. L. Van Meirhaeghe, and P. ClauwsDefect formation during Pd and Pt germanidation of n-type germanium, using rapid thermal annealing in the range of 300–500 °C, is investigated by deep level transient spectroscopy. Small concentrations of an electron trap at ~EC−0.385 eV are found,... (Read more)
- 273. Appl. Phys. Lett. 89, 201911 (2006) , “Generation of misfit dislocations by basal-plane slip in InGaN/GaN heterostructures”, R. Liu, J. Mei, S. Srinivasan, F. A. Ponce, H. Omiya, Y. Narukawa, and T. MukaiThe authors have observed that for InxGa1−xN epitaxial layers grown on bulk GaN substrates exhibit slip on the basal plane, when in the presence of free surfaces that intercept the heterointerface and for indium compositions x0.07. This leads to... (Read more)
- 274. Appl. Phys. Lett. 89, 191903 (2006) , “Vacancy self-trapping during rapid thermal annealing of silicon wafers”, Thomas A. Frewen and Talid SinnoThe density and spatial distribution of oxide precipitates within a crystalline silicon wafer is of paramount importance for microelectronic device yield. In this letter, the authors show how the formation of previously unconsidered, very small vacancy aggregates can explain macroscopic spatial... (Read more)
- 275. Appl. Phys. Lett. 89, 182115 (2006) , “Electrically detected magnetic resonance in ion-implanted Si:P nanostructures”, D. R. McCamey, H. Huebl, M. S. Brandt, W. D. Hutchison, J. C. McCallum, R. G. Clark, and A. R. HamiltonThe authors present the results of electrically detected magnetic resonance (EDMR) experiments on ion-implanted Si:P nanostructures at 5 K, consisting of high-dose implanted metallic leads with a square gap, in which phosphorus is implanted at a nonmetallic dose corresponding to 1017 ... (Read more)
- 276. Appl. Phys. Lett. 89, 182110 (2006) , “Picosecond spin relaxation of acceptor-bound exciton in wurtzite GaN”, H. Otake, T. Kuroda, T. Fujita, T. Ushiyama, A. Tackeuchi, T. Chinone, J.-H. Liang, and M. KajikawaThe spin relaxation process of acceptor-bound excitons in wurtzite GaN is observed by spin-dependent pump and probe reflectance measurement with subpicosecond time resolution. The time evolutions measured at 15–50 K have a single exponential component corresponding to the electron spin... (Read more)
- 277. Appl. Phys. Lett. 89, 173108 (2006) , “Midgap luminescence centers in single-wall carbon nanotubes created by ultraviolet illumination”, Konstantin Iakoubovskii, Nobutsugu Minami, Yeji Kim, Kanae Miyashita, Said Kazaoui, and Balakrishnan NaliniThe authors report the effect of ultraviolet (UV) illumination on optical properties of single-wall carbon nanotubes (SWCNTs) isolated using various dispersants. It is demonstrated that even weak UV light (~1 mW/cm2) can irreversibly alter the SWCNT structure, thus resulting in the... (Read more)
- 278. Appl. Phys. Lett. 89, 172906 (2006) , “Oxygen vacancy motion in Er-doped barium strontium titanate thin films”, Junling Wang and Susan Trolier-McKinstryAmphoteric dopants are widely used in BaTiO3-based dielectrics to improve capacitor reliability. In this work, an analogous approach was explored for barium strontium titanate thin films. Ba0.7Sr0.3TiO3 thin films were prepared by chemical solution... (Read more)
- 279. Appl. Phys. Lett. 89, 171916 (2006) , “Evidences of F-induced nanobubbles as sink for self-interstitials in Si”, S. Boninelli, A. Claverie, G. Impellizzeri, S. Mirabella, F. Priolo, E. Napolitani, and F. CristianoThe beneficial effects of F implantation on the modification of extended defects in Si have been studied. Preamorphized Si samples were implanted with F (75 keV, 6×1015 F/cm2) and regrown by solid phase epitaxy (SPE) at 700 °C. The formation, just after SPE, of a... (Read more)
- 280. Appl. Phys. Lett. 89, 161905 (2006) , “Carrier recombination near threading dislocations in GaN epilayers by low voltage cathodoluminescence”, N. Pauc, M. R. Phillips, V. Aimez, and D. DrouinThe authors present a low voltage cathodoluminescence (CL) study of as grown GaN and GaN:Si epilayers on sapphire. At 1 kV they resolve individual threading dislocations on the sample surface at low temperature (5 K), which appear as correlated dark spots. Analysis of CL intensity profiles across... (Read more)
- 281. Appl. Phys. Lett. 89, 161904 (2006) , “Evidences of an intermediate rodlike defect during the transformation of {113} defects into dislocation loops”, S. Boninelli, N. Cherkashin, A. Claverie, and F. CristianoA detailed study of the transformation of the {113} defects into dislocation loops has been carried out in Ge preamorphized silicon (30 keV, 1×1015 Ge+/cm2) and annealed at 800 °C for time ranging from 15 to 2700 s. The presence of a stable defect,... (Read more)
- 282. Appl. Phys. Lett. 89, 152910 (2006) , “Characterization of atomic-layer-deposited Al2O3/GaAs interface improved by NH3 plasma pretreatment”, Hong-Liang Lu, Liang Sun, Shi-Jin Ding, Min Xu, David Wei Zhang, and Li-Kang WangAl2O3 thin films were deposited by atomic layer deposition on HF-cleaned and NH3 plasma-treated GaAs surfaces, respectively. The precursors used for Al2O3 films are trimethylaluminum and water. Effects of NH3 plasma pretreatment on... (Read more)
- 283. Appl. Phys. Lett. 89, 152904 (2006) , “First principles calculations of oxygen vacancy passivation by fluorine in hafnium oxide”, Wei Chen, Qing-Qing Sun, Shi-Jin Ding, David Wei Zhang, and Li-Kang WangThe fluorine incorporation into HfO2 with oxygen vacancies has been investigated using first principles calculations. The authors show that atomic fluorine can efficiently passivate the neutral oxygen vacancy with excess energies of 4.98 and 4.39 eV for threefold- and... (Read more)
- 284. Appl. Phys. Lett. 89, 152123 (2006) , “Electrical characterization of defects introduced in n-type Ge during indium implantation”, F. D. Auret, P. J. Janse van Rensburg, M. Hayes, J. M. Nel, W. E. Meyer, S. Decoster, V. Matias, and A. VantommeThe authors have employed deep level transient spectroscopy to investigate the defects introduced in n-type Ge during 160 keV indium (In) ion implantation. Our results show that In implantation introduces three prominent electron traps with energy levels at... (Read more)
- 285. Appl. Phys. Lett. 89, 152103 (2006) , “Inherent density of point defects in thermal tensile strained (100)Si/SiO2 entities probed by electron spin resonance”, A. Stesmans, P. Somers, V. V. Afanas'ev, C. Claeys, and E. SimoenAn electron spin resonance analysis has been carried out of the intrinsic point defects in (100)Si/SiO2 entities thermally grown at 800 °C on biaxial tensile strained Si (s-Si). As compared to coprocessed standard (100)Si/SiO2, a significant reduction (>50%) is... (Read more)
- 286. Appl. Phys. Lett. 89, 151923 (2006) , “Variable core model and the Peierls stress for the mixed (screw-edge) dislocation”, Vlado A. Lubarda and Xanthippi MarkenscoffA variable core model of a moving crystal dislocation is proposed and used to derive an expression for the Peierls stress. The dislocation width varies periodically as a dislocation moves through the lattice, which leads to an expression for the Peierls stress in terms of the difference of the total... (Read more)
- 287. Appl. Phys. Lett. 89, 151918 (2006) , “Competition between damage buildup and dynamic annealing in ion implantation into Ge”, M. Posselt, L. Bischoff, D. Grambole, and F. HerrmannChanneling implantation of Ga into Ge is performed at two very different ion fluxes (1012 and 1019 cm2 s1), at two temperatures (room temperature and 250 °C), and at five different fluences. The fluence dependence of the range profiles... (Read more)
- 288. Appl. Phys. Lett. 89, 143505 (2006) , “Probing deep level centers in GaN epilayers with variable-frequency capacitance-voltage characteristics of Au/GaN Schottky contacts”, R. X. Wang, S. J. Xu, S. L. Shi, C. D. Beling, S. Fung, D. G. Zhao, H. Yang, and X. M. TaoUnder identical preparation conditions, Au/GaN Schottky contacts were prepared on two kinds of GaN epilayers with significantly different background electron concentrations and mobility as well as yellow emission intensities. Current-voltage (I-V) and variable-frequency... (Read more)
- 289. Appl. Phys. Lett. 89, 143120 (2006) , “Electroluminescence mapping of CuGaSe2 solar cells by atomic force microscopy”, Manuel J. Romero, C.-S. Jiang, J. Abushama, H. R. Moutinho, M. M. Al-Jassim, and R. NoufiThe authors report on the observation of electroluminescence (EL) in CuGaSe2 solar cells using tapping-mode atomic force microscopy based on tuning-fork sensors. Individually injected current pulses are seen during intermittent contact driven by an external bias applied to the conducting... (Read more)
- 290. Appl. Phys. Lett. 89, 142914 (2006) , “Defect passivation in HfO2 gate oxide by fluorine”, K. Tse and J. RobertsonThe authors have calculated that fluorine substituting for oxygen gives no gap states in HfO2. This accounts for the good passivation of oxygen vacancies by F seen experimentally. Bonding arguments are used to account for why F may be the most effective passivant in ionic oxides such as... (Read more)
- 291. Appl. Phys. Lett. 89, 142909 (2006) , “Fluorine passivation in poly-Si/TaN/HfO2 through ion implantation”, M. H. Zhang, F. Zhu, T. Lee, H. S. Kim, I. J. Ok, G. Thareja, L. Yu, and Jack C. LeeFluorine (F) passivation in poly-Si/TaN/HfO2/p-Si gate stacks through gate ion implantation has been studied. It has been found that when the TaN thickness was less than 15 nm, the mobility and subthreshold swing improved significantly in HfO2 n-channel... (Read more)
- 292. Appl. Phys. Lett. 89, 131918 (2006) , “Hydrogen incorporation processes in nanodiamond films studied by isotopic induced modifications of Raman spectra”, Sh. Michaelson, O. Ternyak, A. Hoffman, and Y. LifshitzThe effect of replacing H by D and C-12 by C-13 in the gas species used to grow different types of nanodiamond films on the Raman spectra of these films was studied. The modifications of the Raman spectra were investigated in submicron sized diamond films grown by hot filament chemical vapor... (Read more)
- 293. Appl. Phys. Lett. 89, 122112 (2006) , “Interface states for HfO2/Si structure observed by x-ray photoelectron spectroscopy measurements under bias”, Osamu Maida, Ken-ichi Fukayama, Masao Takahashi, Hikaru Kobayashi, Young-Bae Kim, Hyun-Chul Kim, and Duck-Kyun ChoiA 1.0 nm silicon nitride (SiN) layer can prevent reaction between HfO2 and Si completely. In this case, the interface state spectra obtained from x-ray photoelectron spectroscopy measurements under bias have two peaks above and below the midgap, attributable to Si dangling bonds... (Read more)
- 294. Appl. Phys. Lett. 89, 122111 (2006) , “Hall electron mobility in diamond”, J. Pernot, C. Tavares, E. Gheeraert, E. Bustarret, M. Katagiri, and S. KoizumiThe low field Hall mobility of electron in diamond was investigated from room temperature to 873 K, both experimentally and theoretically. The acoustic deformation potential for electron scattering is determined by fitting of theoretical calculations to experimental data for high quality {111}... (Read more)
- 295. Appl. Phys. Lett. 89, 112903 (2006) , “Effect of impurities on the fixed charge of nanoscale HfO2 films grown by atomic layer deposition”, Raghavasimhan Sreenivasan, Paul C. McIntyre, Hyoungsub Kim, and Krishna C. SaraswatHfO2 films were grown by atomic layer deposition using two different precursor chemistriesHfCl4 and tetrakis(diethylamido)hafnium (TDEAH) with H2O as the oxidant. Electrical measurements on capacitor structures fabricated using the films showed a 0.4 V... (Read more)
- 296. Appl. Phys. Lett. 89, 112124 (2006) , “Electric conductivity of boron nitride thin films enhanced by in situ doping of zinc”, K. Nose, H. Oba, and T. YoshidaThe authors demonstrate that the electric conductivities of cubic and hexagonal boron nitride (c-BN and h-BN) thin films increased markedly by the in situ doping of zinc. The doped films were electrically semiconducting, and conductivities at room temperature increased from... (Read more)
- 297. Appl. Phys. Lett. 89, 112122 (2006) , “Enhancement of resistivity of Czochralski silicon by deep level manganese doping”, Kanad Mallik, C. H. de Groot, P. Ashburn, and P. R. WilshawDeep level manganese (Mn) doping has been used to fabricate very high resistivity single crystal silicon substrates grown by the Czochralski method. The Mn has been introduced by ion implantation with a dose of 1014 cm2 of Mn at 100 keV followed by rapid thermal... (Read more)
- 298. Appl. Phys. Lett. 89, 112121 (2006) , “Nature and stability of the (100)Si/LaAlO3 interface probed by paramagnetic defects”, A. Stesmans, K. Clémer, V. V. Afanas'ev, L. F. Edge, and D. G. SchlomElectron spin resonance analysis of (100)Si/LaAlO3 structures reveals the absence of a Si/SiO2-type interface in terms of archetypal Si-dangling bond-type Si/SiO2 interface defects (Pb0,Pb1). With no... (Read more)
- 299. Appl. Phys. Lett. 89, 112113 (2006) , “Control of p- and n-type conductivities in Li-doped ZnO thin films”, J. G. Lu, Y. Z. Zhang, Z. Z. Ye, Y. J. Zeng, H. P. He, L. P. Zhu, J. Y. Huang, L. Wang, J. Yuan, B. H. Zhao, and X. H. LiLi-doped ZnO films were prepared by pulsed laser deposition. The carrier type could be controlled by adjusting the growth conditions. In an ionized oxygen atmosphere, p-type ZnO was achieved, with the hole concentration of 6.04×1017 cm3 at an optimal Li... (Read more)
- 300. Appl. Phys. Lett. 89, 112107 (2006) , “Determination of the concentration of recombination centers in thin asymmetrical p-n junctions from capacitance transient spectroscopy”, Juan A. Jiménez Tejada, Pablo Lara Bullejos, Juan A. López Villanueva, Francisco M. Gómez-Campos, Salvador Rodríguez-Bolívar, and M. Jamal DeenRecombination centers in thin asymmetrical p-n junctions were analyzed in the context of capacitance transient experiments. The combined effect of the thin low-doped region of the junction and the nonzero value of the occupation factor of the recombination center in the depletion layer... (Read more)
- 301. Appl. Phys. Lett. 89, 112106 (2006) , “Effects of edge dislocations and intentional Si doping on the electron mobility of n-type GaN films”, D. G. Zhao, Hui Yang, J. J. Zhu, D. S. Jiang, Z. S. Liu, S. M. Zhang, Y. T. Wang, and J. W. LiangThe effects of dislocations and Si doping on the electrical properties of n-type GaN grown by metal organic chemical vapor deposition (MOCVD) are investigated. It is found that both electron mobility and carrier concentration are strongly influenced by edge dislocations. A moderate Si doping... (Read more)
- 302. Appl. Phys. Lett. 89, 103519 (2006) , “Observation of a multilayer planar in-grown stacking fault in 4H-SiC p-i-n diodes”, Joshua D. Caldwell, P. B. Klein, Mark E. Twigg, Robert E. Stahlbush, Orest J. Glembocki, Kendrick X. Liu, Karl D. Hobart, and Fritz KubIn-grown stacking faults (IGSFs) are planar defects that do not propagate under either an applied optical or electrical bias; however, their effect upon the electrical characteristics of diodes is not well understood. We present evidence for a multilayered IGSF and discuss its electrical and optical... (Read more)
- 303. Appl. Phys. Lett. 89, 101111 (2006) , “Investigation of dark line defects induced by catastrophic optical damage in broad-area AlGaInP laser diodes”, M. Bou Sanayeh, A. Jaeger, W. Schmid, S. Tautz, P. Brick, K. Streubel, and G. BacherThe authors present a detailed investigation of defects generated during catastrophic optical damage (COD) in high-power 650 nm AlGaInP lasers using microphotoluminescence (µ-PL) mapping, focused ion beam (FIB) microscopy, and deep-etching techniques. High-resolution µ-PL... (Read more)
- 304. Appl. Phys. Lett. 89, 092902 (2006) , “Charge trapping in nitrided HfSiO gate dielectric layers”, G. Vellianitis, Z. M. Rittersma, and J. PétryThe effects of HfSiO nitridation on charge trapping and long-term dielectric reliability are investigated. A comparison between decoupled plasma nitridation, annealing in NH3, and no nitridation is made. It was found that thinner HfSiO layers show less trapped charge. Decoupled plasma... (Read more)
- 305. Appl. Phys. Lett. 89, 092123 (2006) , “Accurate dependence of gallium nitride thermal conductivity on dislocation density”, C. Mion, J. F. Muth, E. A. Preble, and D. HanserThe authors experimentally find that the thermal conductivity of gallium nitride depends critically on dislocation density using the 3-omega technique. For GaN with dislocation densities lower than 106 cm2, the thermal conductivity is independent with dislocation... (Read more)
- 306. Appl. Phys. Lett. 89, 092122 (2006) , “Deep acceptor states in ZnO single crystals”, H. von Wenckstern, R. Pickenhain, H. Schmidt, M. Brandt, G. Biehne, M. Lorenz, M. Grundmann, and G. BrauerThe authors report the observation of both acceptor- and donorlike defects in ZnO by deep level transient spectroscopy. The observation is facilitated by using a p-n junction allowing the injection of holes and electrons. The junction is realized by implanting a n-conducting ZnO... (Read more)
- 307. Appl. Phys. Lett. 89, 092120 (2006) , “Defect generation at SiO2/Si interfaces by low pressure chemical vapor deposition of silicon nitride”, Hao Jin, K. J. Weber, and P. J. SmithLow pressure chemical vapor deposition of Si3N4 on oxidized Si (111) surfaces causes a change in the properties of the dominant interface defect, the Pb center, observed by electron paramagnetic resonance. The change in the signature of the... (Read more)
- 308. Appl. Phys. Lett. 89, 092113 (2006) , “Large fluorine-vacancy clusters in Si and their capture efficiency for self-interstitials”, Giorgia M. Lopez and Vincenzo FiorentiniBased on ab initio density-functional energetics for saturated (n=2m+2) fluorine-vacancy clusters FnVm for m up to 4, the authors set up a model showing that (a) fluorine-vacancy (FV) aggregates in Si can form in any... (Read more)
- 309. Appl. Phys. Lett. 89, 092107 (2006) , “Photoluminescence studies of impurity transitions in AlGaN alloys”, N. Nepal, M. L. Nakarmi, J. Y. Lin, and H. X. JiangDeep ultraviolet photoluminescence (PL) spectroscopy has been employed to investigate impurity transitions in Si doped Al-rich AlGaN alloys. In addition to the previously reported donor compensating centersisolated cation vacancy with three negative charges... (Read more)
- 310. Appl. Phys. Lett. 89, 092103 (2006) , “Electron energy barriers at interfaces of GaAs(100) with LaAlO3 and Gd2O3”, V. V. Afanas'ev, A. Stesmans, R. Droopad, M.Passlack, L.F. Edge, D. G. SchlomElectron energy barriers at the interfaces of GaAs(100) with Gd2O3 appear to be insensitive to the Fermi level pinning indicating that charges at interface states are of marginal importance for the band alignment at semiconductor/insulator interfaces. The inferred conduction... (Read more)
- 311. Appl. Phys. Lett. 89, 082908 (2006) , “Negative oxygen vacancies in HfO2 as charge traps in high-k stacks”, J. L. Gavartin, D. Muñoz Ramo, A. L. Shluger, G. Bersuker, and B. H. LeeThe optical excitation and thermal ionization energies of oxygen vacancies in m-HfO2 are calculated using a non-local density functional theory with atomic basis sets and periodic supercell. The thermal ionization energies of negatively charged V and... (Read more)
- 312. Appl. Phys. Lett. 89, 082510 (2006) , “Observation and manipulation of paramagnetic oxygen vacancies in Co-doped TiO2 nanocrystals”, Dengyu Pan, Guoliang Xu, Liya Lv, Yuan Yong, Xiuwei Wang, Jianguo Wan, Guanghou Wang, and Yunxia SuiElectron paramagnetic resonance measurements were presented to investigate paramagnetic oxygen vacancies (F+ centers) in Co-doped TiO2 nanocrystals. Surface and interior F+ centers were manipulated by washing or/and annealing. Anisotropic surface... (Read more)
- 313. Appl. Phys. Lett. 89, 082102 (2006) , “Observation of enhanced defect emission and excitonic quenching from spherically indented ZnO”, V. A. Coleman, J. E. Bradby, C. Jagadish, and M. R. PhillipsThe influence of spherical nanoindentation on the band edge and deep level emission of single crystal c-axis ZnO has been studied by cathodoluminescence (CL) spectroscopy and monochromatic imaging. Excitonic emission is quenched at the indent site and defect emission in the range of... (Read more)
- 314. Appl. Phys. Lett. 89, 072102 (2006) , “Manganese impurities in boron nitride”, L. V. C. Assali, W. V. M. Machado, and J. F. JustoThe authors carried an ab initio investigation on the properties of Mn impurities in BN, presenting results on stability, spin states, magnetic moment, hyperfine parameters, and formation and transition energies. Substitutional Mn in the cation site in BN was compared to that in GaN and GaAs.... (Read more)
- 315. Appl. Phys. Lett. 89, 071916 (2006) , “Migration and redistribution of oxygen vacancy in barium titanate ceramics”, L. Chen, X. M. Xiong, H. Meng, P. Lv, and J. X. ZhangDegradation of barium titanate based multilayer capacitor mainly results from migration and redistribution of oxygen vacancy. For barium titanate ceramics, the authors observe an internal friction relaxation peak around 70 °C due to oxygen vacancy, and its relaxation strength differs greatly... (Read more)
- 316. Appl. Phys. Lett. 89, 063508 (2006) , “Electron trap density distribution of Si-rich silicon nitride extracted using the modified negative charge decay model of silicon-oxide-nitride-oxide-silicon structure at elevated temperatures”, Tae Hun Kim, Il Han Park, Jong Duk Lee, Hyung Cheol Shin, and Byung-Gook ParkThe authors modified the charge decay model of silicon-oxide-nitride-oxide-silicon-type memory at the temperatures above 150 °C. The modified model includes the effect of the internal electric field induced by the charges trapped in silicon nitride layer. The authors extracted the trap density... (Read more)
- 317. Appl. Phys. Lett. 89, 063503 (2006) , “Degradation mechanism of organic light-emitting device investigated by scanning photoelectron microscopy coupled with peel-off technique”, H. J. Shin, M. C. Jung, J. Chung, K. Kim, J. C. Lee, and S. P. LeeThe authors present space-resolved spectroscopic data on organic layers of a degraded organic light-emitting device. The data were obtained using a scanning photoelectron microscope (SPEM) coupled with peel-off technique to directly probe the uncontaminated organic layers, which were covered with... (Read more)
- 318. Appl. Phys. Lett. 89, 061903 (2006) , “Nitrogen related vacancies in GaAs based quantum well superlattices”, J. Slotte, K. Saarinen, E.-M. Pavelescu, T. Hakkarainen, and M. PessaThe authors report on the influence of nitrogen incorporation on vacancies in GaAs based superlattices. The samples were molecular beam epitaxy grown on p-type GaAs substrates with the superlattice structure consisting of ten periods of quantum well material separated by GaAs buffers. Three... (Read more)
- 319. Appl. Phys. Lett. 89, 061103 (2006) , “Field induced photoluminescence quenching and enhancement of CdSe nanocrystals embedded in SiO2”, A. W. Achtstein, H. Karl, and B. StritzkerThe authors describe the operation of an electro-optical photoluminescence quenching device based on CdSe nanoclusters formed using sequential ion implantation of Cd+ and Se+ in thermally grown SiO2 on silicon. A sample geometry consisting of a semitransparent gold... (Read more)
- 320. Appl. Phys. Lett. 89, 053511 (2006) , “Density functional theory study of deep traps in silicon nitride memories”, Max Petersen and Yakov RoizinUsing density functional theory, the interaction of hydrogen with a nitrogen vacancy in -Si3N4 is investigated. A single H atom was found to be energetically favorable over non- and doubly protonated vacancies. The traps composed of excess silicon and hydrogen have negative... (Read more)
- 321. Appl. Phys. Lett. 89, 052114 (2006) , “Fluorine-vacancy complexes in ultrashallow B-implanted Si”, D.A.Abdulmalik and P.G.oleman and N.E.B.Cowern and A.J.Smith and B.J.Sealy and W.Lerch and S.Paul and F.CristianoShallow fluorine-vacancy (FV) complexes in Si have been directly observed using variable-energy positron annihilation spectroscopy and secondary ion mass spectrometry. The FV complexes, introduced to combat the deactivation and transient-enhanced diffusion of ultrashallow boron, were observed in... (Read more)
- 322. Appl. Phys. Lett. 89, 044107 (2006) , “Photoluminescence imaging of silicon wafers”, T. Trupke, R. A. Bardos, M. C. Schubert, and W. WartaPhotoluminescence imaging is demonstrated to be an extremely fast spatially resolved characterization technique for large silicon wafers. The spatial variation of the effective minority carrier lifetime is measured without being affected by minority carrier trapping or by excess carriers in space... (Read more)
- 323. Appl. Phys. Lett. 89, 042503 (2006) , “Evidence for magnetism due to oxygen vacancies in Fe-doped HfO2 thin films”, Nguyen Hoa Hong, Nathalie Poirot, and Joe SakaiFe-doped HfO2 thin films are room temperature ferromagnetic. In comparison with results of the undoped HfO2 films, it seems that the Fe doping is not the main cause for the ferromagnetism but only acts as a catalyst. Experimental results of oxygen annealing and vacuum heat... (Read more)
- 324. Appl. Phys. Lett. 89, 042106 (2006) , “Identification of acceptor states in Li-doped p-type ZnO thin films”, Y. J. Zeng, Z. Z. Ye, J. G. Lu, W. Z. Xu, L. P. Zhu, B. H. Zhao, and Sukit LimpijumnongWe investigate photoluminescence from reproducible Li-doped p-type ZnO thin films prepared by dc reactive magnetron sputtering. The LiZn acceptor state, with an energy level located at 150 meV above the valence band maximum, is identified from free-to-neutral-acceptor transitions.... (Read more)
- 325. Appl. Phys. Lett. 89, 042102 (2006) , “Metal precipitation at grain boundaries in silicon: Dependence on grain boundary character and dislocation decoration”, T. Buonassisi, A. A. Istratov, M. D. Pickett, M. A. Marcus, T. F. Ciszek, and E. R. WeberSynchrotron-based analytical microprobe techniques, electron backscatter diffraction, and defect etching are combined to determine the dependence of metal silicide precipitate formation on grain boundary character and microstructure in multicrystalline silicon (mc-Si). Metal silicide precipitate... (Read more)
- 326. Appl. Phys. Lett. 89, 041918 (2006) , “Detection and mobility of hafnium in SiO2”, Dmitri O. Klenov, Thomas E. Mates, and Susanne StemmerHigh-angle annular dark-field imaging in scanning transmission electron microscopy and x-ray photoelectron spectroscopy were used to investigate thermal SiO2 layers doped with Hf by ion implantation. Hf was mobile under the focused electron beam in the as-implanted samples. After... (Read more)
- 327. Appl. Phys. Lett. 89, 041916 (2006) , “Photoluminescence evaluation of defects generated during SiGe-on-insulator virtual substrate fabrication: Temperature ramping process”, Dong Wang, Seiichiro Ii, Hiroshi Nakashima, Ken-ichi Ikeda, Hideharu Nakashima, Koji Matsumoto, and Masahiko NakamaeCrystal qualities of Si/SiGe/Si-on-insulator structures with different SiGe thicknesses were evaluated by photoluminescence (PL). The wafers were annealed at different temperatures with a ramping rate of 5 °C/min. Free exciton PL peaks were clearly observed for the as-grown wafers and decreased... (Read more)
- 328. Appl. Phys. Lett. 89, 041910 (2006) , “Acceptor segregation and nonlinear current-voltage characteristics in H2-sintered SrTiO3”, Seong-Min Wang and Suk-Joong L. KangThe current-voltage characteristics with acceptor segregation at grain boundaries have been investigated in H2-sintered SrTiO3. Al-added SrTiO3 was sintered in H2 and then annealed in air for selective oxidation of grain boundaries. The samples showed... (Read more)
- 329. Appl. Phys. Lett. 89, 041908 (2006) , “Stress dependence of F+-center cathodoluminescence of sapphire”, Giuseppe Pezzotti, Keshu Wan, Maria Chiara Munisso, and Wenliang ZhuThe rate of spectral shift with applied biaxial stress [piezospectroscopic (PS) coefficient] was determined for the electron-stimulated F+ luminescence emitted from the c plane of sapphire (-Al2O3) as =1.18±0.03 nm/GPa. The PS dependence could be... (Read more)
- 330. Appl. Phys. Lett. 89, 031912 (2006) , “Formation of nanovoids in high-dose hydrogen implanted GaN”, I. Radu, R. Singh, R. Scholz, U. Gösele, S. Christiansen, G. Brüderl, C. Eichler, and V. HärleThe formation of nanovoids upon high-dose hydrogen implantation and subsequent annealing in GaN is investigated by transmission electron microscopy. The epitaxial GaN layers on sapphire were implanted at room temperature with H2+ ions at 100 keV with a dose of... (Read more)
- 331. Appl. Phys. Lett. 89, 031911 (2006) , “Hydrogen-plasma-induced thermal donors in high resistivity n-type magnetic Czochralski-grown silicon”, Y. L. Huang, E. Simoen, C. Claeys, J. M. Rafí, P. Clauws, R. Job, and W. R. FahrnerIn this work, the formation of donors in n-type high resistivity magnetic Czochralski-grown silicon wafers, directly exposed to a hydrogen plasma, is investigated by a combination of capacitance-voltage (C-V) and deep level transient spectroscopy (DLTS) measurements.... (Read more)
- 332. Appl. Phys. Lett. 89, 031902 (2006) , “Anti-Stokes photoluminescence in ZnO microcrystal”, Weitao Cao, Weimin Du, Fuhai Su, and Guohua LiLow temperature (10 K) strong anti-Stokes photoluminescence (ASPL) of ZnO microcrystal excited by low power cw 532 nm laser is reported here. Energy upconversion of 1.1 eV is obtained in our experiment with no conventional nonlinear effect. Through the study of the normal photoluminescence and... (Read more)
- 333. Appl. Phys. Lett. 89, 031116 (2006) , “Er3+ excited state absorption and the low fraction of nanocluster-excitable Er3+ in SiOx”, C. J. Oton, W. H. Loh, and A. J. KenyonDespite the observation by a number of groups of a strong luminescence sensitization effect of erbium ions by excitation exchange from silicon nanoclusters, there is considerable experimental evidence that the fraction of Er ions excited by Si-nc is actually very low for much of the material... (Read more)
- 334. Appl. Phys. Lett. 89, 013508 (2006) , “Carrier trap passivation in multicrystalline Si solar cells by hydrogen from SiNx:H layers”, H. F. W. Dekkers, L. Carnel, and G. BeaucarneHydrogenation by high temperature rapid annealing of SiNx:H is found to be very effective on the defects responsible for the carrier trapping effect in multicrystalline silicon. The passivation effect is reversible and is annihilated by a long thermal annealing. As for the... (Read more)
- 335. Appl. Phys. Lett. 89, 012508 (2006) , “Ferromagnetism in Fe-implanted a-plane ZnO films”, P. Wu, G. Saraf, Y. Lu, D. H. Hill, R. Gateau, L. Wielunski, R. A. Bartynski, D. A. Arena, J. Dvorak, A. Moodenbaugh, T. Siegrist, J. A. Raley, and Yung Kee YeoFe ions of dose 5×1016 cm2 were implanted at 200 keV into a-plane ZnO epitaxial films. The epitaxial quality of the postannealed samples was verified by x-ray diffraction -rocking curves and scans, whereas x-ray absorption spectroscopy identified the... (Read more)
- 336. Appl. Phys. Lett. 89, 012505 (2006) , “Diffusion and clustering of substitutional Mn in (Ga,Mn)As”, Hannes Raebiger, Maria Ganchenkova, and Juhani von BoehmThe Ga vacancy mediated microstructure evolution of (Ga,Mn)As during growth and postgrowth annealing is studied using a multiscale approach. The migration barriers for the Ga vacancies and substitutional Mn together with their interactions are calculated using first principles, and temporal... (Read more)
- 337. Appl. Phys. Lett. 89, 011909 (2006) , “Unusual hydrogen distribution and its change in hydrogenated amorphous silicon prepared using bias electric-field molecular beam deposition”, Nobuyuki Matsuki, Satoshi Shimizu, Michio Kondo, and Akihisa MatsudaHydrogenated amorphous silicon (a-Si:H) films prepared using a molecular beam deposition (MBD) method show an unusually sharp, narrow infrared absorption peak at 20802090 cm1, which is thought to result from surface SiH species in the a-Si:H. The sharp, narrow... (Read more)
- 338. Appl. Phys. Lett. 88, 261906 (2006) , “Relationship between binding site and pressure dependence for defect-hydrogen complexes in ZnO”, M. G. Wardle, J. P. Goss, and P. R. BriddonThe effect of hydrostatic pressure on local vibrational modes of hydrogen defects in ZnO has been studied by first-principles methods. We find that the sign and magnitude of the frequency shift rate are strongly dependent on the local environment. In the case of isolated hydrogen, the bond centered... (Read more)
- 339. Appl. Phys. Lett. 88, 261102 (2006) , “Light-emitting defects and epitaxy in alkali-ion-implanted α quartz”, J. Keinonen, S. Gsiorek, P. K. Sahoo, S. Dhar, and K. P. LiebLight-emitting centers in alkali-ion-implanted quartz have been investigated with respect to the solid phase epitaxial growth of the ion irradiation induced amorphous zone. Cathodoluminescence was studied under the conditions of chemical epitaxy in annealing the samples, implanted with... (Read more)
- 340. Appl. Phys. Lett. 88, 253504 (2006) , “Single silicon vacancy-oxygen complex defect and variable retention time phenomenon in dynamic random access memories”, T. Umeda, K. Okonogi, K. Ohyu, S. Tsukada, K. Hamada, S. Fujieda, and Y. MochizukiThe variable retention time phenomenon has recently been highlighted as an important issue in dynamic random access memory (DRAM) technology. Based on electrically detected magnetic resonance and simulation studies, we suggest that a single Si vacancy-oxygen complex defect is responsible for this... (Read more)
- 341. Appl. Phys. Lett. 88, 252109 (2006) , “Origin of the n-type conductivity of InN: The role of positively charged dislocations”, L. F. J. Piper, T. D. Veal, C. F. McConville, Hai Lu, and W. J. SchaffAs-grown InN is known to exhibit high unintentional n-type conductivity. Hall measurements from a range of high-quality single-crystalline epitaxially grown InN films reveal a dramatic reduction in the electron density (from low 1019 to low 1017 cm3)... (Read more)
- 342. Appl. Phys. Lett. 88, 242508 (2006) , “Thermal limits on field alignment of nanoparticle FePt media”, J. A. Bain, W. F. Egelhoff, Jr.We derive a simple expression for the average angular orientation distribution of ferromagnetic FePt particles in an applied field in thermal equilibrium. This system is closely related to the Langevin expression for paramagnetic susceptibility, which computes the average orientation of particles in... (Read more)
- 343. Appl. Phys. Lett. 88, 242112 (2006) , “High temperature nitrogen annealing induced interstitial oxygen precipitation in silicon epitaxial layer on heavily arsenic-doped silicon wafer”, Q. Wang and Manmohan DaggubatiHigh temperature nitrogen annealing induced interstitial oxygen (Oi) precipitation has been investigated in silicon epitaxial layers (epilayers) grown on heavily arsenic-doped Czochralski silicon wafers. Both transmission electron microscopy and secondary ion mass spectrometry data... (Read more)
- 344. Appl. Phys. Lett. 88, 242110 (2006) , “Electrical characterization of defects introduced during electron beam deposition of Pd Schottky contacts on n-type Ge”, F. D. Auret, W. E. Meyer, S. Coelho, and M. HayesWe have investigated by deep level transient spectroscopy the hole and electron trap defects introduced in n-type Ge during electron beam deposition (EBD) of Pd Schottky contacts. We have also compared the properties of these defects with those introduced in the same material during... (Read more)
- 345. Appl. Phys. Lett. 88, 233113 (2006) , “Strain relaxation and induced defects in InAsSb self-assembled quantum dots”, J. F. Chen, R. S. Hsiao, W. D. Huang, Y. H. Wu, L. Chang, J. S. Wang, J. Y. ChiThe onset of strain relaxation and induced defects in InAs0.94Sb0.06 quantum dots are investigated. We show that the relaxation causes partial carrier depletion in the dots and drastic carrier depletion in the top GaAs layer due to the introduction of two defect traps at 0.35... (Read more)
- 346. Appl. Phys. Lett. 88, 224102 (2006) , “Imaging defects in strained-silicon thin films by glancing-incidence x-ray topography”, D. R. Black, J. C. Woicik, M. Erdtmann, T. A. LangdoX-ray topographical images from thin (50 nm) strained-Si films grown on relaxed, planarized crystalline SiGe-on-Si (001) virtual substrates have been imaged by glancing-incidence monochromatic x-ray topography. This extremely asymmetric diffraction geometry, utilizing (311) diffraction planes, can... (Read more)
- 347. Appl. Phys. Lett. 88, 212112 (2006) , “Reliability of thermally oxidized SiO2/4H-SiC by conductive atomic force microscopy”, Patrick Fiorenza and Vito RaineriThe dielectric breakdown (BD) kinetics of silicon dioxide (SiO2) thin films thermally grown on 4H-SiC was determined by comparison between I-V measurements on large area (up to 1.96×105 cm2) metal-oxide-semiconductor structures and... (Read more)
- 348. Appl. Phys. Lett. 88, 212102 (2006) , “Experimental determination of the local geometry around In and In–C complexes in Si”, F. d'Acapito, Y. Shimizu, S. Scalese, M. Italia, P. Alippi, S. GrassoThe electrical properties of dopants in Si are of primary importance for the realization of electronic devices. Indium represents a promising p-type dopant whose electrical properties are improved by codoping with C. From theoretical studies In and C are expected to pair in the Si matrix in... (Read more)
- 349. Appl. Phys. Lett. 88, 211910 (2006) , “Optical properties of shuffle dislocations in silicon”, S. Pizzini, S. Binetti, A. Le Donne, A. Marzegalli, J. RabierThe radiative recombination processes in dislocated float zone silicon samples deformed under gigapascal stresses were studied by photoluminescence (PL) spectroscopy. The observed shuffle dislocations present a reconstructed core and their generation is accompanied by the introduction of point... (Read more)
- 350. Appl. Phys. Lett. 88, 201918 (2006) , “Diffusion of zinc vacancies and interstitials in zinc oxide”, Paul Erhart and Karsten AlbeThe self-diffusion coefficient of zinc in ZnO is derived as a function of the chemical potential and Fermi level from first-principles calculations. Density functional calculations in combination with the climbing image-nudged elastic band method are used in order to determine migration barriers for... (Read more)
- 351. Appl. Phys. Lett. 88, 193502 (2006) , “Role of oxygen vacancy in HfO2/SiHfO2/Si(100) interfaces”, D.-Y. Cho, S.-J. Oh, Y. J. Chang, T. W. Noh, R. Jung, J.-C. LeeWe have investigated the interface states in HfO2/SiO2/Si(100) systems that were prepared by using the in situ pulsed laser deposition technique. X-ray photoelectron spectroscopy data revealed that when the HfO2 film thickness exceeds 11 Å, the film... (Read more)
- 352. Appl. Phys. Lett. 88, 191904 (2006) , “Luminescence from Nd- and Dy-ion-implanted 4H–SiC”, Shinji Kawai, Takayoshi Masaki, Yoshimine Kato, and Teruaki MotookaCathodoluminescence (CL) and photoluminescence (PL) properties are studied on neodymium (Nd)- and dysprosium (Dy)-ion-implanted 4HSiC. No appreciable CL and PL can be observed for all as-implanted samples. Strong visible CL due to Nd3+ and Dy3+ is observed only after... (Read more)
- 353. Appl. Phys. Lett. 88, 183506 (2006) , “Deep level transient spectroscopy study of nickel-germanide Schottky barriers on n-type germanium”, E. Simoen, K. Opsomer, C. Claeys, K. Maex, C. Detavernier, R. L. Van Meirhaeghe, S. Forment, and P. ClauwsNickel-germanide Schottky barriers have been made on n-type germanium and evaluated by deep level transient spectroscopy in order to detect possible metal indiffusion during the 30 s rapid thermal annealing (RTA) employed for the germanidation. It is shown that while no electron traps have... (Read more)
- 354. Appl. Phys. Lett. 88, 182903 (2006) , “Effects of Al addition on the native defects in hafnia”, Q. Li, K. M. Koo, W. M. Lau, P. F. Lee, J. Y. Dai, Z. F. Hou, X. G. GongTwo occupied native defect bands are experimentally detected in pure HfO2. The density of states of band one in the middle of the band gap reduces drastically with the Al addition, while that of band two slightly above the valence-band maximum remains rather unaffected. We attribute the... (Read more)
- 355. Appl. Phys. Lett. 88, 182103 (2006) , “Observation of minority-carrier traps in InGaN/GaN multiple-quantum-well light-emitting diodes during deep-level transient spectroscopy measurements”, J. W. Kim, G. H. Song, J. W. LeeAn unusual appearance of a peak in the deep-level transient spectroscopy (DLTS) data for minority-carrier traps from an InGaN/GaN multiple-quantum-well (MQW) light-emitting diode, under a bias condition provided by a square pulse of varying height superimposed over the reverse-bias voltage, is newly... (Read more)
- 356. Appl. Phys. Lett. 88, 171912 (2006) , “Impact of nitridation on open volumes in HfSiOx studied using monoenergetic positron beams”, A. Uedono, K. Ikeuchi, T. Otsuka, K. Yamabe, K. Eguchi, M. Takayanagi, T. Ohdaira, M. Muramatsu, R. Suzuki, A. S. Hamid, T. ChikyowThe effects of nitridation on open volumes in thin HfSiOx films fabricated by metal-organic chemical vapor deposition were studied using monoenergetic positron beams. It was found that positrons were annihilated from the trapped state by open volumes that exist intrinsically in... (Read more)
- 357. Appl. Phys. Lett. 88, 162117 (2006) , “Electric-field-induced charge noise in doped silicon: Ionization of phosphorus donors”, A. J. Ferguson, V. C. Chan, A. R. Hamilton, and R. G. ClarkWe report low-frequency charge noise measurement on silicon substrates with different phosphorus doping densities. The measurements are performed with aluminum single electron transistors (SETs) at millikelvin temperatures where the substrates are in the insulating regime. By measuring the SET... (Read more)
- 358. Appl. Phys. Lett. 88, 162107 (2006) , “Physical origin of threshold voltage problems in polycrystalline silicon/HfO2 gate stacks”, Dae Yeon Kim, Joongoo Kang, and K. J. ChangBased on theoretical calculations, we find that at p+ polycrystalline silicon (poly-Si)/HfO2 gates, Si interstitials are easily migrated from the electrode, forming HfSi bonds with a charge transfer to the electrode, and the resulting interface dipole raises the Fermi level... (Read more)
- 359. Appl. Phys. Lett. 88, 161906 (2006) , “Defects and acoustic properties of LiAlO2”, M. M. C. Chou, H. C. Huang, Y. F. ChangA potential piezoelectric crystal LiAlO2 with (100) orientation is grown by means of the Czochralski pulling method. The as-grown crystal is identified as a single phase with good uniformity by x-ray diffraction pattern. (001) Transmission electron microscope image showed a unique... (Read more)
- 360. Appl. Phys. Lett. 88, 161905 (2006) , “Defect characterization of Si-doped GaN films by a scanning near-field optical microscope-induced photoluminescence”, M. Yoshikawa, R. Sugie, M. Murakami, T. Matsunobe, K. Matsuda, and H. IshidaWe developed a tapping-mode-scanning near-field optical microscope to measure near-field photoluminescence (SNOM-PL) with nanometer spatial resolution using an ultraviolet laser, and we measured the defect distribution of a Si-doped GaN film. The obtained result was compared with one measured by... (Read more)
- 361. Appl. Phys. Lett. 88, 153518 (2006) , “Negative bias temperature instability mechanism: The role of molecular hydrogen”, Anand T. Krishnan, Srinivasan Chakravarthi, Paul Nicollian, Vijay Reddy, and Srikanth KrishnanThe role of dimerization of atomic hydrogen to give molecular hydrogen in determining negative bias temperature instability (NBTI) kinetics is explored analytically. The time dependency of NBTI involving molecular hydrogen was found to obey a power law with a slope of 1/6, as opposed to the 1/4... (Read more)
- 362. Appl. Phys. Lett. 88, 153509 (2006) , “Deep energy levels in RuO2/4H–SiC Schottky barrier structures”, L. Stuchlikova, D. Buc, L. Harmatha, U. Helmersson, W. H. Chang, I. BelloRuO2/4HSiC Schottky diode structures based on n-type 4HSiC (7×1017 cm3) with stoichiometric RuO2 Schottky contacts were characterized by electrical capacitance-voltage and current voltage methods and deep-level transient... (Read more)
- 363. Appl. Phys. Lett. 88, 153507 (2006) , “Influence of trap states on dynamic properties of single grain silicon thin film transistors”, F. Yan, P. Migliorato, R. IshiharaThe transient properties of single grainthin film transistors (SG-TFTs) with high electron mobility have been studied. Overshoot current induced by trap states has been observed in most of the devices. A method of ac measurements has been used to investigate the trap processes. Both transient... (Read more)
- 364. Appl. Phys. Lett. 88, 152116 (2006) , “Donor and acceptor competitions in phosphorus-doped ZnO”, F. X. Xiu, Z. Yang, L. J. Mandalapu, and J. L. LiuPhosphorus-doped ZnO films were grown by molecular-beam epitaxy with a GaP effusion cell as dopant source. Three growth regions were identified to obtain ZnO films with different conduction types. In the oxygen-extremely-rich region, phosphorus-doped ZnO films show n-type conduction with... (Read more)
- 365. Appl. Phys. Lett. 88, 142112 (2006) , “Hydrogen self-trapping near silicon atoms in Ge-rich SiGe alloys”, R. N. Pereira, B. Bech Nielsen, J. Coutinho, V. J. B. Torres, P. R. BriddonInfrared absorption spectroscopy and ab initio density functional modeling are used to investigate hydrogen defects that are stable at and above room temperature in proton-implanted Ge-rich SiGe alloys. We find that Si atoms are effective nucleation sites for hydrogen, leading to the... (Read more)
- 366. Appl. Phys. Lett. 88, 142104 (2006) , “Defects in silicon nanowires”, R. P. WangDefects in silicon nanowires have been investigated using the electron spin resonance (ESR) method. The ESR signals consist of three features: a strong resonance at g=2.002 49, a weak line at g=2.000 48, and a broad feature at g=2.005 41. From the saturation behavior and... (Read more)
- 367. Appl. Phys. Lett. 88, 141919 (2006) , “Observation of donor-acceptor pair spectra in the photoluminescence of H- and Zn-implanted ZnO single crystals”, D. C. Reynolds, C. W. Litton, T. C. Collins, J. E. Hoelscher, J. NauseDonor-acceptor (D-A) pair spectra have been observed in the photoluminescence radiative recombination of selected donor bound exciton complexes in zinc oxide (ZnO) single crystals that have been ion implantation doped with H and Zn atoms and subsequently annealed in a nitrogen (N2)... (Read more)
- 368. Appl. Phys. Lett. 88, 141901 (2006) , “Defects in Cu2O studied by deep level transient spectroscopy”, G. K. Paul, Y. Nawa, H. Sato, T. Sakurai, and K. AkimotoHole traps in p-type Cu2O were studied by means of deep level transient spectroscopy in the heterostructure of p-Cu2O/i-ZnO/n-ZnO. In addition to the trap level at about 0.45 eV from the valance band edge, which is already reported as being due to... (Read more)
- 369. Appl. Phys. Lett. 88, 132101 (2006) , “Discovery of the deep level related to hydrogen in anatase TiO2”, Takahira Miyagi, Masayuki Kamei, Takefumi Mitsuhashi, and Atsushi YamazakiDeep level transient spectroscopy was carried out to investigate the origin of the deep levels in the band gap of anatase TiO2. The epitaxial anatase-TiO2 film grown by metal-organic chemical vapor deposition possessed a deep level whose activation energy was 0.52 eV. In... (Read more)
- 370. Appl. Phys. Lett. 88, 121914 (2006) , “Effect of C/Si ratio on deep levels in epitaxial 4H–SiC”, C. W. Litton, D. Johnstone, S. Akarca-Biyikli, K. S. Ramaiah, I. Bhat, T. P. Chow, J. K. Kim, E. F. SchubertChanging the ratio of carbon to silicon during the epitaxial 4HSiC growth is expected to alter the dominant deep level trap, which has been attributed to a native defect. The C/Si ratio was changed from one to six during epitaxial growth of SiC. Diodes fabricated on the epitaxial layer were... (Read more)
- 371. Appl. Phys. Lett. 88, 112101 (2006) , “Electrical activation and electron spin coherence of ultralow dose antimony implants in silicon”, T. Schenkel, J. A. Liddle, A. Persaud, A. M. Tyryshkin, S. A. Lyon, R. de Sousa, K. B. Whaley, J. Bokor, J. Shangkuan, I. ChakarovWe implanted ultralow doses (2×1011 cm2) of antimony ions (121Sb) into isotopically enriched silicon (28Si) and find high degrees of electrical activation and low levels of dopant diffusion after rapid thermal annealing. Pulsed electron spin... (Read more)
- 372. Appl. Phys. Lett. 88, 101918 (2006) , “Alternating layers of vacancy-type and interstitial-type defects in Ge ion implanted silicon”, R. KöglerThe defect structure of Ge-implanted and annealed silicon was investigated. A stacked structure of alternating layers of vacancy-type defects (cavities) and interstitial-type defects (dislocation loops) was detected. These defects form a substructure within the basic dual structure consisting of a... (Read more)
- 373. Appl. Phys. Lett. 88, 101904 (2006) , “Effect of nitrogen ion bombardment on defect formation and luminescence efficiency of GaNP epilayers grown by molecular-beam epitaxy”, D. Dagnelund, I. A. Buyanova, T. Mchedlidze, and W. M. ChenRadiative efficiency of GaNP epilayers grown on GaP substrates by solid-source molecular beam epitaxy is significantly improved by reduced nitrogen ion bombardment during the growth. Based on the results of temperature-dependent photoluminescence (PL) and optically detected magnetic resonance... (Read more)
- 374. Appl. Phys. Lett. 88, 092108 (2006) , “Hydrogen passivation of carbon Pb like centers at the 3C- and 4H-SiC/SiO2 interfaces in oxidized porous SiC”, J. L. Cantin, H. J. von Bardeleben, Yue Ke, R. P. Devaty, W. J. ChoykeThe effect of forming gas and vacuum annealing on the concentration of carbon dangling bond (PbC) centers at 3C- and 4H-SiC/SiO2 interfaces has been studied by electron paramagnetic resonance (EPR) spectroscopy. Our results show efficient passivation at 400 °C and... (Read more)
- 375. Appl. Phys. Lett. 88, 091919 (2006) , “Calculation of deep carrier traps in a divacancy in germanium crystals”, J. Coutinho, V. J. B. Torres, R. Jones, A. Carvalho, S. berg, P. R. BriddonWe present an ab initio density functional study on the electronic structure and electrical properties of divacancies in Ge. Although suffering essentially different Jahn-Teller distortions when compared to the analogous defect in Si, the relative location of the electrical levels in the gap... (Read more)
- 376. Appl. Phys. Lett. 88, 091912 (2006) , “Hydrogen-vacancy related defect in chemical vapor deposition homoepitaxial diamond films studied by electron paramagnetic resonance and cathodoluminescence”, N. Mizuochi, H. Watanabe, H. Okushi, S. Yamasaki, J. Niitsuma, T. SekiguchiHydrogen-vacancy related defect (H1) in chemical vapor deposition homoepitaxial diamond films has been investigated by electron paramagnetic resonance and cathodoluminescence. It is found that the concentration of H1 significantly decreases as the dilution... (Read more)
- 377. Appl. Phys. Lett. 88, 082113 (2006) , “Effect of charge on the movement of dislocations in SiC”, T. A. G. Eberlein, R. Jones, A. T. Blumenau, S. berg, P. R. BriddonSiC bipolar devices show a degradation under forward-biased operation which has been linked with a current induced motion of one of the two glide dislocations having either Si or C core atoms. We have carried out calculations of the core structures and dynamics of partial dislocations in 3C and... (Read more)
- 378. Appl. Phys. Lett. 88, 073112 (2006) , “Single-electron tunneling in a silicon-on-insulator layer embedding an artificial dislocation network”, Yasuhiko Ishikawa, Chihiro Yamamoto, and Michiharu TabeA two-dimensional dislocation network artificially embedded in a silicon-on-insulator (SOI) layer was examined as the source of lattice strain to generate a periodic potential. A screw dislocation network with the period of 20 nm was formed in an SOI layer using a twist bonding of two SOI wafers.... (Read more)
- 379. Appl. Phys. Lett. 88, 062112 (2006) , “Electron paramagnetic resonance of a donor in aluminum nitride crystals”, S. M. Evans, N. C. Giles, and L. E. HalliburtonElectron paramagnetic resonance (EPR) and electron-nuclear double resonance (ENDOR) spectra are obtained from a donor in aluminum nitride (AlN) crystals. Although observed in as-grown crystals, exposure to x rays significantly increases the concentration of this center. ENDOR identifies a strong... (Read more)
- 380. Appl. Phys. Lett. 88, 052110 (2006) , “Lifetime-limiting defects in n– 4H-SiC epilayers”, P. B. Klein, B. V. Shanabrook, S. W. Huh, A. Y. Polyakov, M. Skowronski, J. J. Sumakeris, M. J. O'LoughlinLow-injection minority carrier lifetimes (MCLs) and deep trap spectra have been investigated in n 4H-SiC epilayers of varying layer thicknesses, in order to enable the separation of bulk lifetimes from surface recombination effects. From the linear dependence of the inverse... (Read more)
- 381. Appl. Phys. Lett. 88, 023113 (2006) , “Implantation of labelled single nitrogen vacancy centers in diamond using 15N”, J. R. Rabeau and P. ReichartNitrogen-vacancy (NV) color centers in diamond were created by implantation of 7 keV 15N(I=1/2) ions into type IIa diamond. Optically detected magnetic resonance was employed to measure the hyperfine coupling of single NV centers. The hyperfine... (Read more)
- 382. Appl. Phys. Lett. 88, 021907 (2006) , “Nitrogen incorporation characteristics on a 4H-SiC epitaxial layer”, Kazutoshi Kojima, Satoshi Kuroda, Hajime Okumura, and Kazuo AraiThe N incorporation characteristics on a 4H-SiC epitaxial layer were reinvestigated. It was found that the desorption process and thermally activated process are aspects of the N incorporation mechanism of 4H-SiC to which attention should be paid. This mechanism depends on both the rate-limiting... (Read more)
- 383. Appl. Phys. Lett. 88, 021901 (2006) , “H-induced platelet and crack formation in hydrogenated epitaxial Si/Si0.98B0.02/Si structures”, L. Shao, Y. Lin, J. G. Swadener, J. K. Lee, Q. X. Jia, Y. Q. Wang, M. Nastasi, P. E. Thompson, N. D. Theodore, T. L. Alford, J. W. Mayer, P. Chen, S. S. LauAn approach to transfer a high-quality Si layer for the fabrication of silicon-on-insulator wafers has been proposed based on the investigation of platelet and crack formation in hydrogenated epitaxial Si/Si0.98B0.02/Si structures grown by molecular-beam epitaxy. H-related... (Read more)
- 384. Appl. Phys. Lett. 88, 012101 (2006) , “The structure of the SiO2/Si(100) interface from a restraint-free search using computer simulations”, Dominik Fischer, Alessandro Curioni, Salomon Billeter, and Wanda AndreoniThe structure of the interface between SiO2 and Si(100) is investigated using the replica-exchange method driven by classical molecular dynamics simulations based on ab initio-derived interatomic potentials. Abrupt interfaces are shown to be unstable, whereas a substoichiometric... (Read more)
- 385. J. Appl. Phys. 100, 124315 (2006) , “Magnetic resonance study of Ni nanoparticles in single-walled carbon nanotube bundles”, A. A. Konchits, F. V. Motsnyi, Yu. N. Petrov, S. P. Kolesnik, V. S. Yefanov, M. L. Terranova, E. Tamburri, S. Orlanducci, V. Sessa, and M. RossiWe present a detailed study of the electron magnetic resonance (EMR) properties of Ni nanoparticles (NPs) placed in the bundles of single-walled carbon nanotubes produced by arc discharge with Ni catalyst. The behavior of EMR signals has been investigated in the 10–300 K temperature range for... (Read more)
- 386. J. Appl. Phys. 100, 123519 (2006) , “Origin of deep level defect related photoluminescence in annealed InP”, Youwen Zhao, Zhiyuan Dong, Shanshan Miao, Aihong Deng, Jun Yang, and Bo WangDeep level defects in annealed InP have been studied by using photoluminescence spectroscopy (PL), thermally stimulated current (TSC), deep level transient spectroscopy (DLTS), and positron annihilation lifetime (PAL). A noticeable broad PL peak centered at 1.3 eV has been observed in the InP... (Read more)
- 387. J. Appl. Phys. 100, 123108 (2006) , “Density functional theory investigation of N interstitial migration in GaN”, R. R. Wixom and A. F. WrightUsing density-functional total energy calculations, we investigated N interstitial migration in GaN. Two migration paths were considered. The first path confines motion to a single c-plane of the lattice, while the second path involves movement both perpendicular and parallel to the... (Read more)
- 388. J. Appl. Phys. 100, 123101 (2006) , “Spatial distribution of deep level defects in crack-free AlGaN grown on GaN with a high-temperature AlN interlayer”, Q. Sun, H. Wang, D. S. Jiang, R. Q. Jin, Y. Huang, S. M. Zhang, H. Yang, U. Jahn, and K. H. PloogThe deep level luminescence of crack-free Al0.25Ga0.75N layers grown on a GaN template with a high-temperature grown AlN interlayer has been studied using spatially resolved cathodoluminescence (CL) spectroscopy. The CL spectra of Al0.25Ga0.75N grown on a... (Read more)
- 389. J. Appl. Phys. 100, 114303 (2006) , “Influence of paramagnetic defects on multicolored luminescence from nanocrystalline silicon”, Keisuke Sato and Kenji HirakuriWe report on the correlation between paramagnetic defects and the luminescent properties of nanocrystalline silicon (nc-Si) using electron spin resonance (ESR) and photoluminescence measurements. Nanocrystalline silicon having particle sizes from 1.9 to 3.0 nm exhibited continuous luminescence... (Read more)
- 390. J. Appl. Phys. 100, 113728 (2006) , “Investigation of deep levels in n-type 4H-SiC epilayers irradiated with low-energy electrons”, Katsunori Danno and Tsunenobu KimotoDeep levels in n-type 4H-SiC epilayers have been investigated by deep level transient spectroscopy (DLTS). The Z1/2 and EH6/7 centers are dominant in as-grown samples. After electron irradiation at 116 keV, by which only carbon atoms may be displaced, the... (Read more)
- 391. J. Appl. Phys. 100, 113725 (2006) , “Light- and bias-induced metastabilities in Cu(In,Ga)Se2 based solar cells caused by the (VSe-VCu) vacancy complex”, Stephan Lany and Alex ZungerWe investigate theoretically light- and bias-induced metastabilities in Cu(In,Ga)Se2 (CIGS) based solar cells, suggesting the Se–Cu divacancy complex (VSe-VCu) as the source of this hitherto puzzling phenomena. Due to its amphoteric nature, the... (Read more)
- 392. J. Appl. Phys. 100, 113531 (2006) , “Impact of silicon incorporation on the formation of structural defects in AlN”, M. Hermann, F. Furtmayr, F. M. Morales, O. Ambacher, M. Stutzmann, and M. EickhoffThe impact of Si impurities on the structural properties of AlN, grown by plasma-assisted molecular-beam epitaxy on c-plane sapphire is studied. Under nitrogen-rich growth conditions silicon can be homogeneously incorporated up to Si concentrations of [Si]=5.2×1021... (Read more)
- 393. J. Appl. Phys. 100, 113519 (2006) , “Void growth during thermal decomposition of silicon oxide layers studied by low-energy electron microscopy”, H. Hibino, M. Uematsu, and Y. WatanabeLow-energy electron microscopy (LEEM) has been used to investigate void growth during thermal decomposition of 1–2-nm-thick silicon oxide on Si substrates. Real-time LEEM observations clarify that the void size (square root of the void area) grows linearly with time. The temperature dependence... (Read more)
- 394. J. Appl. Phys. 100, 113513 (2006) , “Theoretical study of nitrogen-doping effects on void formation processes in silicon crystal growth”, Hiroyuki Kageshima, Akihito Taguchi, and Kazumi WadaNitrogen-doping effects in silicon crystal growth have been theoretically studied using thermodynamical simulation based on first-principles calculation results. The results show that the densities of various complexes are determined in the balance between the enthalpy effects and the entropy... (Read more)
- 395. J. Appl. Phys. 100, 104904 (2006) , “Does nitrogen transport in vitreous silica only take place in molecular form?”, Q. Dong and G. HultquistIt is generally believed that nitrogen transport in vitreous silica exclusively takes place in molecular form, although no evidence for this is found in the literature. Actually, an analysis of literature data of transport of nitrogen and noble gases in vitreous silica at 900 °C suggests it may... (Read more)
- 396. J. Appl. Phys. 100, 104902 (2006) , “Concentration-dependent diffusion of hydrogen in vitreous silica”, J. Rundgren, Q. Dong, and G. HultquistWe report diffusion experiments where hydrogen permeates through a 1 mm wall of vitreous silica at 550 °C with applied gas pressures of 70, 460, 840, and 1200 mbars. For each pressure, and at steady state, the flux and the amount of hydrogen in the material are measured. Within the... (Read more)
- 397. J. Appl. Phys. 100, 104901 (2006) , “Photoluminescence and photoconductivity in CdTe crystals doped with Bi”, E. Saucedo, C. M. Ruiz, V. Bermúdez, E. Dieguez, E. Gombia, A. Zappettini, A. Baraldi, and N. V. SochinskiiDefect levels in CdTe doped with Bi are studied by low temperature photoluminescence, photoinduced current transient spectroscopy, photoconductivity measurements, and optical absorption. Two centers associated with the doping with Bi are reported. The first one, a deep level located at... (Read more)
- 398. J. Appl. Phys. 100, 094903 (2006) , “Effect of dislocations on electrical and electron transport properties of InN thin films. II. Density and mobility of the carriers”, V. Lebedev, V. Cimalla, T. Baumann, O. Ambacher, F. M. Morales, J. G. Lozano, and D. González.The influence of dislocations on electron transport properties of undoped InN thin films grown by molecular-beam epitaxy on AlN(0001) pseudosubstrates is reported. The microstructure and the electron transport in InN(0001) films of varying thickness were analyzed by transmission electron microscopy... (Read more)
- 399. J. Appl. Phys. 100, 094902 (2006) , “Effect of dislocations on electrical and electron transport properties of InN thin films. I. Strain relief and formation of a dislocation network”, V. Lebedev, V. Cimalla, J. Pezoldt, M. Himmerlich, S. Krischok, J. A. Schaefer, O. Ambacher, F. M. Morales, J. G. Lozano, and D. GonzálezThe strain-relaxation phenomena and the formation of a dislocation network in 2H-InN epilayers during molecular beam epitaxy are reported. Plastic and elastic strain relaxations were studied by reflection high-energy electron diffraction, transmission electron microscopy, and high resolution... (Read more)
- 400. J. Appl. Phys. 100, 094108 (2006) , “The effect of interfacial layer properties on the performance of Hf-based gate stack devices”, G. Bersuker, C. S. Park, J. Barnett, P. S. Lysaght, P. D. Kirsch, C. D. Young, R. Choi, B. H. Lee, B. Foran, K. van Benthem, S. J. Pennycook, P. M. Lenahan, and J. T. RyanThe influence of Hf-based dielectrics on the underlying SiO2 interfacial layer (IL) in high-k gate stacks is investigated. An increase in the IL dielectric constant, which correlates to an increase of the positive fixed charge density in the IL, is found to depend on the starting,... (Read more)
- 401. J. Appl. Phys. 100, 093716 (2006) , “Determination of capture cross sections for as-grown electron traps in HfO2/HfSiO stacks”, C. Z. Zhao, J. F. Zhang, M. B. Zahid, B. Govoreanu, G. Groeseneken, and S. De GendtA major challenge for replacing gate SiON with HfO2 is the instability and reliability of HfO2. Unlike the SiON, there can be substantial amount of as-grown electron traps in HfO2. These traps can cause instability in the threshold voltage and contribute to the... (Read more)
- 402. J. Appl. Phys. 100, 093715 (2006) , “Fermi level pinning in heavily neutron-irradiated GaN”, A. Y. Polyakov, N. B. Smirnov, A. V. Govorkov, A. V. Markov, N. G. Kolin, D. I. Merkurisov, V. M. Boiko, K. D. Shcherbatchev, V. T. Bublik, M. I. Voronova, I-H. Lee, C. R. Lee, S. J. Pearton, A. Dabirian, and A. V. OsinskyUndoped n-GaN grown by two different metallorganic chemical vapor deposition (MOCVD) techniques, standard MOCVD and epitaxial lateral overgrowth, and Mg-doped p-GaN prepared by hydride vapor phase epitaxy and molecular beam epitaxy were irradiated with fast reactor neutrons to the high... (Read more)
- 403. J. Appl. Phys. 100, 093708 (2006) , “Effect of dislocations on open circuit voltage in crystalline silicon solar cells”, Thomas Kieliba, Stephan Riepe, and Wilhelm WartaThe dislocation dependence of open circuit voltage is studied based on Donolato's model for the effect of dislocations on minority carrier effective diffusion length [J. Appl. Phys. 84, 2656 (1998)]. Experimental data measured on thin-film solar cells show a strong decrease of open circuit... (Read more)
- 404. J. Appl. Phys. 100, 093518 (2006) , “Interaction of micropipes with foreign polytype inclusions in SiC”, M. Yu. Gutkin, A. G. Sheinerman, T. S. Argunova, J. M. Yi, M. U. Kim, J. H. Je, S. S. Nagalyuk, E. N. Mokhov, G. Margaritondo, and Y. HwuSynchrotron phase sensitive radiography, optical and scanning electron microscopies, and color photoluminescence have been used to study the interaction of micropipes with foreign polytype inclusions in 4H-SiC bulk crystals grown on 6H-SiC substrates. This combination of techniques... (Read more)
- 405. J. Appl. Phys. 100, 093507 (2006) , “A view of the implanted SiC damage by Rutherford backscattering spectroscopy, spectroscopic ellipsometry, and transmission electron microscopy”, G. Battistig, N. Q. Khánh, P. Petrik, T. Lohner, L. Dobos, B. Pécz, J. García López, and Y. Morilla4H-SiC single crystalline substrates were implanted at room temperature with 150 keV Al+ ions using fluences of 4×1014, 1×1015, and 2×1015 cm−2 with current density of 2.5 µA cm−2.... (Read more)
- 406. J. Appl. Phys. 100, 083521 (2006) , “Thermally activated charge reversibility of gallium vacancies in GaAs”, Fedwa El-Mellouhi, Norm, and MousseauThe dominant charge state for the Ga vacancy in GaAs has been the subject of a long debate, with experiments suggesting −1, −2, or −3 as the best answer. We revisit this problem using ab initio calculations to compute the effects of temperature on the Gibbs free energy of... (Read more)
- 407. J. Appl. Phys. 100, 083515 (2006) , “Stress dependence of the near-band-gap cathodoluminescence spectrum of GaN determined by spatially resolved indentation method”, Alessandro Alan Porporati, Yoshitomo Tanaka, Atsuo Matsutani, Wenliang Zhu, and Giuseppe PezzottiA microscopic procedure has been proposed for evaluating the stress dependence of the (room-temperature) cathodoluminescence (CL) excitonic band emitted from the (0001) crystallographic plane of GaN in a field-emission-gun scanning electron microscope. The room-temperature near-band-gap emission... (Read more)
- 408. J. Appl. Phys. 100, 083512 (2006) , “Spectroscopy and optically stimulated luminescence of Al2O3:C using time-resolved measurements”, E. G. Yukihara and S. W. S. McKeeverThis paper reports the observation of ultraviolet (UV) emission at 335 nm in the optically stimulated luminescence (OSL) of carbon-doped aluminum oxide (Al2O3:C) and presents results on the investigation of the OSL properties of this band, including its dose response, time... (Read more)
- 409. J. Appl. Phys. 100, 083511 (2006) , “Multispecies nitrogen diffusion in silicon”, V. V. Voronkov and R. FalsterOutdiffusion profiles of nitrogen, produced by 900 °C annealing and monitored by secondary ion mass spectroscopy, clearly show that the nitrogen community consists of three noninteracting components. The A component (dominant at higher nitrogen concentration) is represented by a species... (Read more)
- 410. J. Appl. Phys. 100, 083106 (2006) , “Photoluminescence of Tb3+ doped SiNx films grown by plasma-enhanced chemical vapor deposition”, Zhizhong Yuan, Dongsheng Li, Minghua Wang, Peiliang Chen, Daoren Gong, Lei Wang, and Deren YangRoom temperature photoluminescence (PL) properties of the Tb3+ ion implanted nonstoichiometric silicon nitride (Tb3+:SiNx) and silicon dioxide (Tb3+:SiOx) were studied. The films were deposited by plasma-enhanced chemical vapor... (Read more)
- 411. J. Appl. Phys. 100, 074313 (2006) , “Deep levels in silicon Schottky junctions with embedded arrays of -FeSi2 nanocrystallites”, A. Tsormpatzoglou, D. H. Tassis, C. A. Dimitriadis, L. Dózsa, N. G. Galkin, D. L. Goroshko, V. O. Polyarnyi, and E. A. ChusovitinSchottky contacts on p-type silicon, with embedded arrays of β-FeSi2 nanocrystallites, were studied by current-voltage (I-V), deep level transient spectroscopy (DLTS), and low-frequency noise measurements. Forward I-V characteristics on logarithmic... (Read more)
- 412. J. Appl. Phys. 100, 073702 (2006) , “Size and shape effects on excitons and biexcitons in single InAs/InP quantum dots”, N. Chauvin, B. Salem, G. Bremond, G. Guillot, C. Bru-Chevallier, and M. GendrySingle InAs quantum dots grown on an InP vicinal substrate are studied using polarized microphotoluminescence. The study of electron-hole exchange energy splitting reveals that the energy splitting is influenced by quantum confinement. The biexciton binding energies found for single dots grown on... (Read more)
- 413. J. Appl. Phys. 100, 073518 (2006) , “Void formation and surface energies in Cu(InGa)Se2”, C. Lei, A. Rockett, I. M. Robertson, W. N. Shafarman, and M. BeckThe spontaneous formation of voids has been observed in Cu(In,Ga)Se2 films deposited by multistage evaporation processes but was not found commonly in single-stage evaporated material. These voids may be isolated in the grains or may occur at the grain boundaries. The voids exhibit... (Read more)
- 414. J. Appl. Phys. 100, 073511 (2006) , “Depth profiling of strain and defects in Si/Si1–xGex/Si heterostructures by micro-Raman imaging”, T. Mitani, S. Nakashima, H. Okumura, and A. OguraWe have reported depth and in-plane profiling of strain, Ge composition, and defects in strained-Si/Si1xGex/Si heterostructures using micro-Raman imaging. Raman profiling in the depth direction was carried out with a depth resolution of ~15 nm using a... (Read more)
- 415. J. Appl. Phys. 100, 073501 (2006) , “Identification by photoluminescence and positron annihilation of vacancy and interstitial intrinsic defects in ion-implanted silicon”, R. Harding, G. Davies, J. Tan, P. G. Coleman, C. P. Burrows, and J. Wong-LeungDefect centers generated in crystalline silicon by MeV Si implants have been investigated by a combination of photoluminescence, variable-energy positron annihilation measurements, depth profiling by etching, annealing studies, and the dependence on impurities. The broad 935 meV photoluminescence... (Read more)
- 416. J. Appl. Phys. 100, 064910 (2006) , “Defects in Ga(In)NAs thin films grown by atomic H-assisted molecular beam epitaxy”, Yukiko Shimizu, Yusuke Mura, Akira Uedono, and Yoshitaka OkadaThe vacancy-type defects in Ga1yInyNxAs1x dilute nitride films grown by atomic H-assisted molecular beam epitaxy (H-MBE) were investigated. The positron annihilation measurements showed that the densities of... (Read more)
- 417. J. Appl. Phys. 100, 064501 (2006) , “Introduction of defects into HfO2 gate dielectrics by metal-gate deposition studied using x-ray photoelectron spectroscopy and positron annihilation”, A. Uedono, T. Naito, T. Otsuka, K. Shiraishi, K. Yamabe, S. Miyazaki, H. Watanabe, N. Umezawa, T. Chikyow, Y. Akasaka, S. Kamiyama, Y. Nara, and K. YamadaThe impact of TiN deposition on thin HfO2 films formed on Si substrates was studied using x-ray photoelectron spectroscopy and a monoenergetic positron beam. For the predeposition sample, the positrons implanted into Si were found to diffuse toward the HfO2/Si interface under... (Read more)
- 418. J. Appl. Phys. 100, 063715 (2006) , “Hopping conductivity in p-CuGaSe2 films”, E. Arushanov, S. Siebentritt, T. Schedel-Niedrig, and M. Ch. Lux-SteinerThe results of resistivity measurements on p-type CuGaSe2 films are presented and analyzed within the framework of different hopping conductivity models. Both the Mott [N. Mott and E. A. Davies, Electron Processes in Non-Crystalline Materials (Clarendon, Oxford, 1979); N. F.... (Read more)
- 419. J. Appl. Phys. 100, 063706 (2006) , “Effect of dislocations on minority carrier diffusion length in practical silicon solar cells”, Thomas Kieliba, Stephan Riepe, and Wilhelm WartaIn 1998, Donolato presented an analytical model describing the effect of dislocation density on minority carrier effective diffusion length [J. Appl. Phys. 84, 2656 (1998)]. While this analysis was derived for a "semi-infinite" specimen, our objective is the appropriate description... (Read more)
- 420. J. Appl. Phys. 100, 063517 (2006) , “Extraction of Cu diffusivities in dielectric materials by numerical calculation and capacitance-voltage measurement”, Ki-Su Kim, Young-Chang Joo, Ki-Bum Kim, and Jang-Yeon KwonA rigorous method of obtaining the Cu diffusivities in various SiO2-based dielectric materials is proposed. The diffusion profile of Cu ions in a dielectric material is first simulated and the resulting flatband voltage shift (VFB) is compared with the experimental... (Read more)
- 421. J. Appl. Phys. 100, 053708 (2006) , “Optical cross sections of deep levels in 4H-SiC”, M. Kato, S. Tanaka, M. Ichimura, E. Arai, S. Nakamura, T. Kimoto, and R. PässlerWe have characterized deep levels in 4H-SiC epilayers grown by cold wall chemical vapor deposition by the deep level transient spectroscopy (DLTS) and the optical-capacitance-transient spectroscopy (O-CTS). Four kinds of DLTS peaks were detected in the epilayers. Three of them are identified... (Read more)
- 422. J. Appl. Phys. 100, 053521 (2006) , “Ostwald ripening of interstitial-type dislocation loops in 4H-silicon carbide”, P. O. Å. Persson, L. Hultman, M. S. Janson, and A. HallénThe annealing behavior of interstitial-type basal plane dislocation loops in Al ion implanted 4H-SiC is investigated. It is shown that the loops undergo a dynamical ripening process. For annealing below 1700 °C the total area of dislocation loops increases, indicating that point defects... (Read more)
- 423. J. Appl. Phys. 100, 053516 (2006) , “Damage production in GaAs and GaAsN induced by light and heavy ions”, C. Björkas, K. Nordlund, K. Arstila, J. Keinonen, V. D. S. Dhaka, and M. PessaIon irradiation causes damage in semiconductor crystal structures and affects charge carrier dynamics. We have studied the damage production by high-energy (100 keV10 MeV) H, He, Ne, and Ni ions in GaAs and GaAs90N10 using molecular dynamics computer simulations. We... (Read more)
- 424. J. Appl. Phys. 100, 053103 (2006) , “Spatially resolved distribution of dislocations and crystallographic tilts in GaN layers grown on Si(111) substrates by maskless cantilever epitaxy”, R. I. Barabash, C. Roder, G. E. Ice, S. Einfeldt, J. D. Budai, O. M. Barabash, S. Figge, and D. HommelThe spatial distribution of strain, misfit and threading dislocations, and crystallographic orientation in uncoalesced GaN layers grown on Si(111) substrates by maskless cantilever epitaxy was studied by polychromatic x-ray microdiffraction, high resolution monochromatic x-ray diffraction, and... (Read more)
- 425. J. Appl. Phys. 100, 044503 (2006) , “Dislocation dynamics in strain relaxation in GaAsSb/GaAs heteroepitaxy”, B. Pérez Rodríguez and J. Mirecki MillunchickThe real-time stress evolution has been investigated during molecular-beam epitaxial growth of GaAs1xSbx/GaAs metamorphic buffer. These real-time data were obtained using an in situ multibeam optical sensor measurement and has been combined with... (Read more)
- 426. J. Appl. Phys. 100, 044303 (2006) , “Spin relaxation in a germanium nanowire”, S. Patibandla, S. Pramanik, S. Bandyopadhyay, and G. C. TepperWe report experimental study of spin transport in nanowire spin valve structures consisting of three layerscobalt, germanium, and nickel. The spin diffusion length in the Ge is estimated to be about 400 nm at 1.9 K and the corresponding spin relaxation time is about 4 ns. At 100 K, the... (Read more)
- 427. J. Appl. Phys. 100, 044109 (2006) , “Variable energy positron beam analysis of vacancy defects in laser ablated SrTiO3 thin films on SrTiO3”, S. McGuire, D. J. Keeble, R. E. Mason, P. G. Coleman, Y. Koutsonas, and T. J. JacksonVacancies are known often to be the dominant type of point defects in perovskite oxides, but their presence can rarely be directly determined. Positron annihilation methods have unique sensitivity to vacancy-related defects and the variable energy positron beam (VEPB) techniques allow near-surface... (Read more)
- 428. J. Appl. Phys. 100, 044105 (2006) , “Structural defects in multiferroic BiMnO3 studied by transmission electron microscopy and electron energy-loss spectroscopy”, H. Yang, Z. H. Chi, L. D. Yao, W. Zhang, F. Y. Li, C. Q. Jin, and R. C. YuThe multiferroic material BiMnO3 synthesized under high pressure has been systematically studied by transmission electron microscopy and electron energy-loss spectroscopy, and some important structural defects are revealed in this multiferroic material. The frequently observed defects are... (Read more)
- 429. J. Appl. Phys. 100, 043706 (2006) , “Electrical properties of unintentionally doped semi-insulating and conducting 6H-SiC”, W. C. Mitchel, W. D. Mitchell, Z. Q. Fang, D. C. Look, S. R. Smith, H. E. Smith, Igor Khlebnikov, Y. I. Khlebnikov, C. Basceri, and C. BalkasTemperature dependent Hall effect (TDH), low temperature photoluminescence (LTPL), secondary ion mass spectrometry (SIMS), optical admittance spectroscopy (OAS), and thermally stimulated current (TSC) measurements have been made on 6H-SiC grown by the physical vapor transport technique... (Read more)
- 430. J. Appl. Phys. 100, 043703 (2006) , “Combined optical and electrical studies of the effects of annealing on the intrinsic states and deep levels in a self-assembled InAs quantum-dot structure”, S. W. Lin, A. M. Song, N. Rigopolis, B. Hamilton, A. R. Peaker, and M. MissousThe effects of postgrowth rapid thermal annealing on the electronic states in a relatively long wavelength (~1.3 µm), self-assembled InAs/GaAs quantum-dot structure are investigated. We combine optical and electrical experiments, i.e., photoluminescence (PL) and deep-level transient... (Read more)
- 431. J. Appl. Phys. 100, 043513 (2006) , “Measurement of temperature-dependent defect diffusion in proton-irradiated GaN(Mg, H)”, R. M. Fleming and S. M. MyersDeuterated p-type GaN(Mg,2H) films were irradiated at room temperature with 1 MeV protons to create native point defects with a concentration approximately equal to the Mg doping (5×1019 cm3). The samples were then annealed isothermally at a... (Read more)
- 432. J. Appl. Phys. 100, 043505 (2006) , “Damage accumulation in neon implanted silicon”, E. Oliviero, S. Peripolli, L. Amaral, P. F. P. Fichtner, M. F. Beaufort, J. F. Barbot, and S. E. DonnellyDamage accumulation in neon-implanted silicon with fluences ranging from 5×1014 to 5×1016 Ne cm2 has been studied in detail. As-implanted and annealed samples were investigated by Rutherford backscattering spectrometry under channeling... (Read more)
- 433. J. Appl. Phys. 100, 034911 (2006) , “Thermal evolution of hydrogen related defects in hydrogen implanted Czochralski silicon investigated by Raman spectroscopy and atomic force microscopy”, W. Düngen, R. Job, Y. Ma, Y. L. Huang, T. Mueller, W. R. Fahrner, L. O. Keller, J. T. Horstmann, and H. FiedlerMicro-Raman spectroscopy and atomic force microscopy investigations have been applied on hydrogen implanted p-type Czochralski silicon samples to investigate the hydrogen related defects and their evolution after subsequent annealing. The thermal evolution of interstitial-hydrogen and... (Read more)
- 434. J. Appl. Phys. 100, 034509 (2006) , “Vacancy-impurity complexes in polycrystalline Si used as gate electrodes of HfSiON-based metal-oxide-semiconductors probed using monoenergetic positron beams”, A. Uedono, K. Ikeuchi, T. Otsuka, K. Yamabe, K. Eguchi, M. Takayanagi, S. Ishibashi, T. Ohdaira, M. Muramatsu, and R. SuzukiVacancy-impurity complexes in polycrystalline Si (poly-Si) used as a gate electrode of the metal-oxide-semiconductor field-effect transistor (MOSFET) were probed using monoenergetic positron beams. Doppler broadening spectra of the annihilation radiation and the positron lifetimes were measured for... (Read more)
- 435. J. Appl. Phys. 100, 034503 (2006) , “Deep level defects in proton radiated GaAs grown on metamorphic SiGe/Si substrates”, M. González, C. L. Andre, R. J. Walters, S. R. Messenger, J. H. Warner, J. R. Lorentzen, A. J. Pitera, E. A. Fitzgerald, and S. A. RingelThe effect of 2 MeV proton radiation on the introduction of deep levels in GaAs grown on compositionally graded SiGe/Si substrates was investigated using deep level transient spectroscopy (DLTS). Systematic comparisons were made with identical layers grown on both GaAs and Ge substrates to directly... (Read more)
- 436. J. Appl. Phys. 100, 034318 (2006) , “Electric-field-induced quenching of photoluminescence in photoconductive organic thin film structures based on Eu3+ complexes”, J. Kalinowski, W. Stampor, M. Cocchi, D. Virgili, and V. FattoriA large electric field effect on photoluminescence (PL) from electroluminescent emitters sandwiched between two high-work-function electrodes is reported and a model of the effect formulated. We examine the PL behavior of Eu3+ complex-based organic thin films subjected to increasing... (Read more)
- 437. J. Appl. Phys. 100, 034309 (2006) , “Critical size for defects in nanostructured materials”, Jagdish NarayanThis paper addresses some of the fundamental issues and critical advantages in reducing the grain size/feature size to the nanoscale regime. We find that as the grain size or feature size is reduced, there is a critical size below which the defect content can be reduced virtually to zero. This... (Read more)
- 438. J. Appl. Phys. 100, 034304 (2006) , “Shrinkage of nanocavities in silicon during electron beam irradiation”, Xianfang ZhuAn internal shrinkage of nanocavity in silicon was in situ observed under irradiation of energetic electron on electron transmission microscopy. Because there is no addition of any external materials to cavity site, a predicted nanosize effect on the shrinkage was observed. At the same time,... (Read more)
- 439. J. Appl. Phys. 100, 033901 (2006) , “Spin-dependent transport in diluted-magnetic-semiconductor/semiconductor quantum wires”, Wen Xu and Yong GuoSpin-polarized transport properties have been investigated in diluted-magnetic-semiconductor/semiconductor quantum wires. We stress the effects introduced by the structural configuration and geometric parameters as well as the external magnetic field. It is found that the symmetric quantum wire... (Read more)
- 440. J. Appl. Phys. 100, 033717 (2006) , “Magnetic and carrier transport properties of Mn-doped p-type semiconductor LaCuOSe: An investigation of the origin of ferromagnetism”, Hiroshi Yanagi, Shuichi Ohno, Toshio Kamiya, Hidenori Hiramatsu, Masahiro Hirano, and Hideo HosonoLaCuOSe is a wide band gap p-type semiconductor in which high density positive holes can be doped to exhibit degenerate conduction. These features should allow room-temperature ferromagnetism in a dilute magnetic semiconductor (DMS), which follows a theoretical prediction [T. Dietl et al.,... (Read more)
- 441. J. Appl. Phys. 100, 033711 (2006) , “Damage and recovery in boron doped silicon on insulator layers after high energy Si+ implantation”, M. Ferri, S. Solmi, D. Nobili, and A. ArmigliatoThe effects of 2 MeV Si+ implantation on silicon-on-insulator layers uniformly doped with B at concentrations 1.0 and 1.8×1020 cm3, and the kinetics of damage recovery were investigated by carrier density, mobility measurements, and transmission... (Read more)
- 442. J. Appl. Phys. 100, 033525 (2006) , “Determination of interstitial oxygen concentration in germanium by infrared absorption”, V. V. Litvinov, B. G. Svensson, L. I. Murin, J. L. Lindström, V. P. Markevich, and A. R. PeakerThe intensities of infrared absorption due to the asymmetric stretching vibrations of interstitial oxygen atoms in Ge crystals enriched with 16O and 18O isotopes have been compared with oxygen concentrations determined by means of secondary ion mass spectrometry. For Ge samples... (Read more)
- 443. J. Appl. Phys. 100, 033523 (2006) , “The CiCs(SiI) defect in silicon: An infrared spectroscopy study”, M. S. Potsidi and C. A. LondosInfrared (IR) spectroscopy was employed for a thorough study of the CiCs(SiI) defect formed in neutron-irradiated carbon-doped Czochralski silicon material. Its IR signals at 987 and 993 cm1, as well as the thermal evolution of the... (Read more)
- 444. J. Appl. Phys. 100, 033517 (2006) , “Sources of optical absorption between 5.7 and 5.9 eV in silica implanted with Si or O”, R. H. Magruder, III, A. Stesmans, K. Clémer, R. A. Weeks, and R. A. WellerTo determine if the only source of optical absorption between 5.8 and 5.9 eV is the E center (absorbing at 5.85 eV) two separate suites of type III silica samples were implanted, one with Si and one with O. Several ion energies were used for implantation to produce layers 600 and... (Read more)
- 445. J. Appl. Phys. 100, 024510 (2006) , “Influence of iron contamination on the performances of single-crystalline silicon solar cells: Computed and experimental results”, S. Dubois, O. Palais, M. Pasquinelli, S. Martinuzzi, C. Jaussaud, and N. RondelIn this paper, the impact of iron contamination on the conversion efficiency of single-crystalline p-type silicon solar cells is investigated by means of the combination of numerical simulations and experimental data, taking into account the more recent results about the properties of iron in... (Read more)
- 446. J. Appl. Phys. 100, 024103 (2006) , “Scanning transmission electron microscopy investigations of interfacial layers in HfO2 gate stacks”, Melody P. Agustin, Gennadi Bersuker, Brendan Foran, Lynn A. Boatner, and Susanne StemmerElectron energy-loss spectroscopy combined with high-angle annular dark-field (HAADF) imaging in scanning transmission electron microscopy was used to investigate the chemistry of interfacial layers in HfO2 gate stacks capped with polycrystalline Si gate electrodes. To interpret the... (Read more)
- 447. J. Appl. Phys. 100, 023712 (2006) , “Exciton migration in organic thin films”, Y. C. Zhou, Y. Wu, L. L. Ma, J. Zhou, X. M. Ding, and X. Y. HouLimitations of the analytical method for calculating the exciton distribution in organic thin films, attributed to the improper boundary conditions when the organic film approaches the exciton diffusion length, were analyzed by comparison with an exciton random walk simulation. The random walk... (Read more)
- 448. J. Appl. Phys. 100, 023711 (2006) , “Charge trapping properties at silicon nitride/silicon oxide interface studied by variable-temperature electrostatic force microscopy”, S.-D. Tzeng and S. GwoCharge trapping properties of electrons and holes in ultrathin nitride-oxide-silicon (NOS) structures were quantitatively determined by variable-temperature electrostatic force microscopy (EFM). From charge retention characteristics obtained at temperatures between 250 and 370 °C and assuming... (Read more)
- 449. J. Appl. Phys. 100, 023709 (2006) , “Effect of threading dislocation density on Ni/n-GaN Schottky diode I-V characteristics”, A. R. Arehart, B. Moran, J. S. Speck, U. K. Mishra, S. P. DenBaars, and S. A. RingelThe impact of threading dislocation density on Ni/n-GaN Schottky barrier diode characteristics is investigated using forward biased current-voltage-temperature (I-V-T) and internal photoemission (IPE) measurements. Nominally, identical metal-organic chemical vapor... (Read more)
- 450. J. Appl. Phys. 100, 023704 (2006) , “Transformation behavior of room-temperature-stable metastable defects in hydrogen-implanted n-type silicon studied by isothermal deep-level transient spectroscopy”, Yutaka TokudaIsothermal deep-level transient spectroscopy (DLTS) with a single pulse has been used to study the transformation behavior of hydrogen-related metastable defects labeled EM1 (Ec0.28 eV) and EM2 (Ec0.37 eV), which are observed in... (Read more)
- 451. J. Appl. Phys. 100, 023539 (2006) , “Mechanisms for the activation of ion-implanted Fe in InP”, T. Cesca, A. Verna, G. Mattei, A. Gasparotto, B. Fraboni, G. Impellizzeri, and F. PrioloIn this paper we present structural and electrical investigations on high temperature Fe-implanted InP. The aim of the work is to relate the lattice position of the implanted atoms after annealing treatments (from 300 to 600 °C) with their electrical activation as compensating deep traps and... (Read more)
- 452. J. Appl. Phys. 100, 023531 (2006) , “Lattice site location and annealing behavior of implanted Ca and Sr in GaN”, B. De Vries, A. Vantomme, U. Wahl, J. G. Correia, J. P. Araújo, W. Lojkowski, and D. KolesnikovWe report on the lattice location of ion-implanted Ca and Sr in thin films of single-crystalline wurtzite GaN. Using the emission channeling technique the angular distributions of particles emitted by the radioactive isotopes 45Ca (t1/2=163.8 d) and... (Read more)
- 453. J. Appl. Phys. 100, 023529 (2006) , “Visible and near-infrared photoluminescences of europium-doped titania film”, C. W. Jia, E. Q. Xie, J. G. Zhao, Z. W. Sun, and A. H. PengEu3+-doped TiO2 films were prepared on silicon substrates by sol-gel method. Anatase and rutile phases appear when the samples were heat treated in oxygen atmosphere at 500 and 900 °C, respectively. Photoluminescence (PL) properties were investigated under the excitation... (Read more)
- 454. J. Appl. Phys. 100, 023525 (2006) , “Evolution of erbium lattice locations in silicon: Effects of thermal annealing and codoped impurities (carbon, nitrogen, oxygen, and fluorine)”, X. T. Ren and M. B. HuangThe effects of thermal annealing and codoped impurities including carbon, nitrogen, oxygen, and fluorine, on the occupation of erbium lattice locations in Si, have been investigated in detail. Ion channeling measurements indicate that ion-implanted Er can mainly occupy two distinct lattice locations... (Read more)
- 455. J. Appl. Phys. 100, 023523 (2006) , “Defects and phase distribution in epitaxial ZnMnSe layers analyzed by transmission electron microscopy”, D. Litvinov, D. Gerthsen, B. Daniel, C. Klingshirn, and M. HetterichOur work is concerned with the occurrence and distribution of the sphalerite, wurtzite, and rocksalt phases, which can be present in the ZnMnSe system, and the analysis of structural defects. For this purpose, ZnMnSe layers with thicknesses between 700 and 1000 nm and Mn concentrations of 0%, 4%,... (Read more)
- 456. J. Appl. Phys. 100, 023512 (2006) , “Stacking faults and twin boundaries in fcc crystals determined by x-ray diffraction profile analysis”, Levente Balogh, Gábor Ribárik, and Tamás UngárA systematic procedure is developed to evaluate the density of planar defects together with dislocations and crystallite or subgrain size by x-ray line profile analysis in fcc crystals. Powder diffraction patterns are numerically calculated by using the DIFFAX software for intrinsic and extrinsic... (Read more)
- 457. J. Appl. Phys. 100, 023509 (2006) , “Cathodoluminescence microscopy and spectroscopy of GaN epilayers microstructured using surface charge lithography”, C. Díaz-Guerra, J. Piqueras, O. Volciuc, V. Popa, and I. M. TiginyanuCathodoluminescence (CL) microscopy and spectroscopy have been used to investigate the optical properties of GaN microstructures patterned by Ar+ ion irradiation and subsequent photoelectrochemical (PEC) etching. Monochromatic CL images and CL spectra reveal an enhancement of several... (Read more)
- 458. J. Appl. Phys. 100, 023109 (2006) , “Exciton fine structure and biexciton binding energy in single self-assembled InAs/AlAs quantum dots”, D. Sarkar, H. P. van der Meulen, J. M. Calleja, J. M. Becker, R. J. Haug, and K. PierzThe exciton and biexciton emissions of a series of single quantum dots of InAs in an AlAs matrix have been studied. These emissions consist of linear cross polarized doublets showing large values of both the biexciton binding energy and the fine-structure splitting. At increasing exciton emission... (Read more)
- 459. J. Appl. Phys. 100, 013706 (2006) , “Distributions of self-trapped hole continuums in silica glass”, R. P. Wang, K. Saito, and A. J. IkushimaPhotobleaching of self-trapped holes (STH) in low temperature UV-irradiated silica glass has been investigated by the electron spin resonance method. The bleaching time dependence of the decay of two kinds of STH, STH1, and STH2, could be well fitted by the stretched... (Read more)
- 460. J. Appl. Phys. 100, 013521 (2006) , “Phonon and vibrational spectra of hydrogenated CdTe”, J. Polit, E. M. Sheregii, J. Cebulski, B. V. Robouch, A. Marcelli, M. Cestelli Guidi, M. Piccinini, A. Kisiel, P. Zajdel, E. Burattini, and A. MycielskiThis work presents far-infrared reflectivity spectra collected with synchrotron radiation on specially prepared CdTe monocrystals in the temperature region of 30300 K. The investigated samples were of three different types characterized by the three different levels of... (Read more)
- 461. J. Appl. Phys. 100, 013518 (2006) , “Photoluminescence analysis on the indium doped Cd0.9Zn0.1Te crystal”, Qiang Li, Wanqi Jie, Li Fu, Ge Yang, Gangqiang Zha, Tao Wang, and Dongmei ZengPhotoluminescence spectra are used to characterize high resistivity of In-doped CdZnTe crystal. Shallow level donor-acceptor pair (DAPs) peak at 1.6014 eV is found due to shallow donor and acceptor compensation related defects, which forms the... (Read more)
- 462. J. Appl. Phys. 100, 013501 (2006) , “Contactless electromodulation spectroscopy of AlGaN/GaN heterostructures with a two-dimensional electron gas: A comparison of photoreflectance and contactless electroreflectance”, R. Kudrawiec, M. Syperek, M. Motyka, J. Misiewicz, R. Paszkiewicz, B. Paszkiewicz, M. T?acza?aPhotoreflectance (PR) and contactless electroreflectance (CER) spectroscopies have been applied to study optical transitions in undoped and Si-doped AlGaN/GaN heterostructures at room temperature. Spectral features related to excitonic and band-to-band absorptions in GaN layer and band-to-band... (Read more)
- 463. J. Appl. Phys. 99, 124105 (2006) , “Hydrogen-implant-induced polarization loss and recovery in IrO2/Pb(Zr,Ti)O3/Pt capacitors”, J. S. Cross, K. Kurihara, I. Sakaguchi, and H. HanedaHydrogen was implanted into IrO2 (200 nm)/Pb(Zr,Ti)O3/Pt thin film capacitors at 26 keV with a flux of 2×1015 H+ ions/cm2 and also implanted at 20 keV with a flux of 1×1015 H+ ions/cm2 into... (Read more)
- 464. J. Appl. Phys. 99, 123717 (2006) , “Identification of sulfur double donors in 4H-, 6H-, and 3C-silicon carbide”, S. A. Reshanov, G. Pensl, H. Nagasawa, and A. SchönerSulfur ions are implanted into 6H-, 4H-, and 3C-SiC. Admittance and double correlated deep level transient spectroscopy investigations reveal that S atoms form double donors in SiC, which reside on lattice sites. The number of double donors observed corresponds to the number of... (Read more)
- 465. J. Appl. Phys. 99, 123515 (2006) , “Raman spectroscopy of (Mn, Co)-codoped ZnO films”, C. L. Du, Z. B. Gu, M. H. Lu, J. Wang, S. T. Zhang, J. Zhao, G. X. Cheng, H. Heng, and Y. F. ChenRaman spectra of (Mn, Co)-codoped ZnO films were investigated as functions of laser line and temperature. It is shown that the Raman shifts for different phonon modes exhibit redshift with temperature increasing, which can be attributed to the anharmonic effect in the material. Strong resonant Raman... (Read more)
- 466. J. Appl. Phys. 99, 123514 (2006) , “Study of the annealing kinetic effect and implantation energy on phosphorus-implanted silicon wafers using spectroscopic ellipsometry”, Emmanouil Lioudakis, Constantinos Christofides, and Andreas OthonosIn this work, we have studied the changes in the optical properties on crystalline silicon implanted wafers (1×10131×1016 P+/cm2) using an extensive ellipsometric analysis. The effects of implantation energy (20180 KeV) and... (Read more)
- 467. J. Appl. Phys. 99, 123501 (2006) , “Thermal annealing study of swift heavy-ion irradiated zirconia”, Jean-Marc Costantini, Andrée Kahn-Harari, François Beuneu, and François CouvreurSintered samples of monoclinic zirconia (-ZrO2) have been irradiated at room temperature with 6.0 GeV Pb ions in the electronic slowing down regime. X-ray diffraction (XRD) and micro-Raman spectroscopy measurements showed unambiguously that a transition to the "metastable"... (Read more)
- 468. J. Appl. Phys. 99, 114514 (2006) , “Pinholelike defects in multistack 1.3 µm InAs quantum dot laser”, Wei-Sheng Liu, Holin Chang, Yu-Shen Liu, and Jen-Inn ChyiThe pinholelike defects often observed in multistack InAs quantum dot structures on GaAs have been investigated comprehensively. Due to the high surface stress of InAs quantum dots, the overgrowth of GaAs and InGaAs capping layers on InAs quantum dots is far from conformal and leads to the formation... (Read more)
- 469. J. Appl. Phys. 99, 114509 (2006) , “Influence of residual oxygen impurity in quaternary InAlGaN multiple-quantum-well active layers on emission efficiency of ultraviolet light-emitting diodes on GaN substrates”, Takashi Kyono, Hideki Hirayama, Katsushi Akita, Takao Nakamura, Masahiro Adachi, and Koshi AndoThe influence of residual impurities in quaternary InAlGaN active layers on the emission efficiency of 350 nm band ultraviolet light-emitting diodes on GaN substrates has been investigated. Secondary ion mass spectrometry and capacitance-voltage measurements have revealed that a large amount of... (Read more)
- 470. J. Appl. Phys. 99, 113531 (2006) , “High spatial resolution mapping of partially strain-compensated SiGe:C films in the presence of postannealed defects”, A. V. Darahanau, A. Benci, A. Y. Nikulin, J. Etheridge, J. Hester, and P. ZaumseilAn experimental-analytical technique for the model-independent nondestructive characterization of single-crystal alloys is applied to partially strain-compensated SiGe:C/Si single layer structures with high concentrations of Ge. The studies were performed on pre- and postannealed SiGe:C/Si samples.... (Read more)
- 471. J. Appl. Phys. 99, 113520 (2006) , “Effects of hydrogen bond redistribution on photoluminescence of a-SiC:H films under thermal treatment”, A. V. Vasin, S. P. Kolesnik, A. A. Konchits, V. I. Kushnirenko, V. S. Lysenko, A. N. Nazarov, A. V. Rusavsky, and S. AshokHydrogenated amorphous silicon carbide (a-SiC:H) films have been deposited using magnetron sputtering technique. An integrated investigation of the effect of vacuum annealing temperature on photoluminescence properties and paramagnetic defects and its correlation with structural... (Read more)
- 472. J. Appl. Phys. 99, 113516 (2006) , “Investigation of the indium-boron interaction in silicon”, S. Scalese, S. Grasso, M. Italia, V. Privitera, J. S. Christensen, and B. G. SvenssonThe interaction between indium and boron coimplanted in silicon has been investigated. In particular, the effects of the coimplantation on the diffusion and the electrical activation have been studied in comparison with the single B or In implanted samples. It is shown that, by means of... (Read more)
- 473. J. Appl. Phys. 99, 113507 (2006) , “Grazing incidence small-angle x-ray scattering from defects induced by helium implantation in silicon”, D. Babonneau, M.-F. Beaufort, A. Declémy, J.-F. Barbot, and J.-P. SimonThe formation and growth of defects, including nanocavities and extended interstitial-type defects, created by helium implantation in silicon (50 keV, 7.1015 cm2) in the temperature range of 100550 °C has been investigated by grazing incidence small-angle... (Read more)
- 474. J. Appl. Phys. 99, 113506 (2006) , “Theoretical properties of the N vacancy in p-type GaN(Mg,H) at elevated temperatures”, S. M. Myers, A. F. Wright, M. Sanati, and S. K. EstreicherThe elevated-temperature properties of the N vacancy in Mg-doped, p-type GaN containing H were modeled using atomic-configuration energies and phonon densities of states obtained with density-functional theory. This study encompassed both equilibrium thermodynamics and the rates of diffusion... (Read more)
- 475. J. Appl. Phys. 99, 104906 (2006) , “An x-ray topographic study of diamond anvils: Correlation between defects and helium diffusion”, Agnès Dewaele, Paul Loubeyre, and Ramesh AndréX-ray topographic images of several dozens of diamonds have been recorded before and after their use as anvils in a diamond anvil high pressure cell. The aim of this study is to better understand and prevent the breakage of diamond anvils when they are used in contact with a helium sample. Indeed,... (Read more)
- 476. J. Appl. Phys. 99, 104305 (2006) , “Optical activation of Eu ions in nanoporous GaN films”, A. P. Vajpeyi, S. Tripathy, L. S. Wang, B. C. Foo, S. J. Chua, E. A. Fitzgerald, and E. AlvesA systematic optical activation study of Eu-implanted nanoporous GaN films has been carried out as a function of ion dose and annealing temperature. The nanoporous GaN films are prepared by photoelectrochemical etching of n-type GaN films in HF-based electrolyte. Eu ions are implanted in both... (Read more)
- 477. J. Appl. Phys. 99, 103905 (2006) , “Effect of Mn+ ion implantation on the Raman spectra of ZnO”, Hongmei Zhong, Jinbing Wang, Xiaoshuang Chen, Zhifeng Li, Wenlan Xu, and Wei LuRaman measurements have been performed on ZnO bulk materials implanted with 220 keV Mn+ ions with various doses from 1015 to 1017 cm2. The results reveal two modes at about 230 and 523 cm1, respectively, which are not observed in... (Read more)
- 478. J. Appl. Phys. 99, 103510 (2006) , “Fluorine in preamorphized Si: Point defect engineering and control of dopant diffusion”, G. Impellizzeri, S. Mirabella, F. Priolo, E. Napolitani, and A. CarneraWhile it is known that F modifies dopant diffusion in crystalline Si, the physical mechanisms behind this process are still unclear. In this work we report experimental studies about the F control of the point defect density in preamorphized Si layers. These studies put the basis for the... (Read more)
- 479. J. Appl. Phys. 99, 103509 (2006) , “X-ray scattering study of hydrogen implantation in silicon”, Nicolas Sousbie, Luciana Capello, Joël Eymery, François Rieutord, and Chrystelle LagaheThe effect of hydrogen implantation in silicon single crystals is studied using high-resolution x-ray scattering. Large strains normal to the sample surface are evidenced after implantation. A simple and direct procedure to extract the strain profile from the scattering data is described. A... (Read more)
- 480. J. Appl. Phys. 99, 103507 (2006) , “Nonconservative Ostwald ripening of a dislocation loop layer under inert nitrogen-rich SiO2/Si interfaces”, D. Skarlatos, P. Tsouroutas, V. Em. Vamvakas, and C. TsamisIn this work we perform a systematic study of the dissolution of a dislocation loop layer under the influence of inert SiO2/Si and nitrogen-rich SiO2/Si interfaces. The composition of the dislocation loop layer was just after its formation 10%20% Frank dislocation loops... (Read more)
- 481. J. Appl. Phys. 99, 103502 (2006) , “Correlation of Mn local structure and photoluminescence from CdS:Mn nanoparticles”, Huijuan Zhou, Detlev M. Hofmann, Helder R. Alves, and Bruno K. MeyerThe structure and luminescence properties of Mn2+ in CdS nanoparticles are studied. Electron paramagnetic resonance measurements show the existence of three distinct Mn2+ centers with different local structures in CdS nanocrystals: Mn ions substitutionally incorporated on Cd... (Read more)
- 482. J. Appl. Phys. 99, 103102 (2006) , “Electroluminescence properties of the Gd3+ ultraviolet luminescent centers in SiO2 gate oxide layers”, J. M. Sun, S. Prucnal, W. Skorupa, T. Dekorsy, A. Müchlich, M. Helm, L. Rebohle, and T. GebelElectroluminescence (EL) properties in the ultraviolet (UV) range were studied on Gd-implanted indium tin oxide/SiO2:Gd/Si metal-oxide-semiconductor light emitting devices. The efficient UV line at 316 nm from Gd3+ centers shows a maximum power density of 2 mW/cm2... (Read more)
- 483. J. Appl. Phys. 99, 093706 (2006) , “Thermal donors formation via isothermal annealing in magnetic Czochralski high resistivity silicon”, Mara Bruzzi, David Menichelli, Monica Scaringella, Jaakko Härkönen, Esa Tuovinen, and Zheng LiA quantitative study about the thermal activation of oxygen related thermal donors in high resistivity p-type magnetic Czochralski silicon has been carried out. Thermal donor formation has been performed through isothermal annealing at 430 °C up to a total time of 120 min. Space charge... (Read more)
- 484. J. Appl. Phys. 99, 093511 (2006) , “Primary photoluminescence in as-neutron (electron) -irradiated n-type 6H-SiC”, Z. Q. Zhong, D. X. Wu, M. Gong, O. Wang, S. L. Shi, S. J. Xu, X. D. Chen, C. C. Ling, S. Fung, C. D. Beling, G. Brauer, W. Anwand, and W. SkorupaLow-temperature photoluminescence spectroscopy has revealed a series of features labeled S1, S2, S3 in n-type 6H-SiC after neutron and electron irradiation. Thermal annealing studies showed that the defects S1,... (Read more)
- 485. J. Appl. Phys. 99, 093509 (2006) , “Gettering of transition metal impurities during phosphorus emitter diffusion in multicrystalline silicon solar cell processing”, A. Bentzen, A. Holt, R. Kopecek, G. Stokkan, J. S. Christensen, and B. G. SvenssonWe have investigated the gettering of transition metals in multicrystalline silicon wafers during a phosphorus emitter diffusion for solar cell processing. The results show that mainly regions of high initial recombination lifetime exhibit a significant lifetime enhancement upon phosphorus diffusion... (Read more)
- 486. J. Appl. Phys. 99, 093507 (2006) , “Annealing process of ion-implantation-induced defects in ZnO: Chemical effect of the ion species”, Z. Q. Chen, M. Maekawa, A. Kawasuso, S. Sakai, and H. NaramotoZnO single crystals implanted with O+ and B+ ions were studied by positron annihilation and Raman scattering measurements. Positron annihilation results show that vacancy clusters are generated by implantation. For the B+-implanted sample, the vacancy clusters have a... (Read more)
- 487. J. Appl. Phys. 99, 093505 (2006) , “Improvements in quantum efficiency of excitonic emissions in ZnO epilayers by the elimination of point defects”, S. F. Chichibu, T. Onuma, M. Kubota, A. Uedono, T. Sota, A. Tsukazaki, A. Ohtomo, and M. KawasakiThe internal quantum efficiency (int) of the near-band-edge (NBE) excitonic photoluminescence (PL) in ZnO epilayers was significantly improved by eliminating point defects, as well as by the use of ZnO high-temperature-annealed self-buffer layer (HITAB) on a ScAlMgO4 substrate... (Read more)
- 488. J. Appl. Phys. 99, 093108 (2006) , “Extremely high quantum efficiency of donor-acceptor-pair emission in N-and-B-doped 6H-SiC”, S. Kamiyama, T. Maeda, Y. Nakamura, M. Iwaya, H. Amano, I. Akasaki, H. Kinoshita, T. Furusho, M. Yoshimoto, T. Kimoto, J. Suda, A. Henry, I. G. Ivanov, J. P. Bergman, B. Monemar, T. Onuma, and S. F. ChichibuHigh-efficiency visible light emission in N-and-B-doped 6H-SiC epilayers was observed in photoluminescence measurements at room temperature. The orange-yellow light emission due to the recombination of donor-acceptor pairs (DAPs) has a broad spectrum with a peak wavelength of 576 nm and a... (Read more)
- 489. J. Appl. Phys. 99, 083510 (2006) , “Capture barrier for DX centers in gallium doped Cd1–xMnxTe”, Ewa Placzek-Popko, Anna Nowak, Jan Szatkowski, and Kazimierz SieranskiWe report on the capture barrier for the gallium related DX center in Cd0.99Mn0.01Te. In order to determine the barrier height, two methods were applied: an analysis of the persistent photoconductivity decay and the optical deep level transient spectroscopy... (Read more)
- 490. J. Appl. Phys. 99, 073709 (2006) , “Optical and electron paramagnetic resonance spectroscopies of diffusion-doped Co2+:ZnSe”, Ming Luo, N. Y. Garces, N. C. Giles, Utpal N. Roy, Yunlong Cui, and Arnold BurgerThe efficacy of diffusing cobalt into window-grade polycrystalline ZnSe during high-temperature anneals has been studied. Absorption, photoluminescence (PL), time-resolved PL, and electron paramagnetic resonance (EPR) were used to characterize samples with cobalt concentrations ranging from... (Read more)
- 491. J. Appl. Phys. 99, 073517 (2006) , “Magnetophotoluminescence of Zn0.88Mn0.12Se grown by metal-organic chemical vapor deposition on GaAs substrates”, S. L. Lu, D. S. Jiang, J. M. Dai, C. L. Yang, H. T. He, W. K. Ge, J. N. Wang, K. Chang, J. Y. Zhang, and D. Z. ShenMagnetophotoluminescence properties of Zn0.88Mn0.12Se thin films grown by metal-organic chemical vapor deposition on GaAs substrates are investigated in fields up to 10 T. The linewidth of the excitonic luminescence peaks decreases with the increasing magnetic field (<1 ... (Read more)
- 492. J. Appl. Phys. 99, 073511 (2006) , “Effects of temperature and flux on oxygen bubble formation in Li borosilicate glass under electron beam irradiation”, Nadège Ollier, Giancarlo Rizza, Bruno Boizot, and Guillaume PetiteOxygen bubble formation and evolution under a 300 keV electron beam are analyzed in a Li borosilicate glass under different irradiation conditions: temperature, flux, and dose. Oxygen bubbles are observed to form in a delimited flux and temperature region with a threshold requirement. This region... (Read more)
- 493. J. Appl. Phys. 99, 066105 (2006) , “Thermal stability of in-grown vacancy defects in GaN grown by hydride vapor phase epitaxy”, F. Tuomisto, K. Saarinen, T. Paskova, B. Monemar, M. Bockowski, and T. SuskiWe have used positron annihilation spectroscopy to study the thermal behavior of different native vacancy defects typical of freestanding GaN grown by hydride vapor phase epitaxy under high pressure annealing at different annealing temperatures. The results show that the... (Read more)
- 494. J. Appl. Phys. 99, 066102 (2006) , “Photoluminescence of wurtzite ZnO under hydrostatic pressure”, S. J. Chen, Y. C. Liu, C. L. Shao, C. S. Xu, Y. X. Liu, L. Wang, B. B. Liu, and G. T. ZouPhotoluminescence (PL) spectra of single-crystal ZnO bulk under hydrostatic pressure are studied using the diamond-anvil-cell technique at room temperature. The PL spectrum of ZnO single crystal taken at atmospheric pressure was dominated by a strong near-band-edge exciton emission. The emission... (Read more)
- 495. J. Appl. Phys. 99, 064502 (2006) , “High concentration in-diffusion of phosphorus in Si from a spray-on source”, A. Bentzen, A. Holt, J. S. Christensen, and B. G. SvenssonHigh concentration in-diffusion of phosphorus in both Czochralski grown and solar grade multicrystalline Si from a spray-on liquid source has been studied by secondary ion mass spectrometry and electrochemical capacitance-voltage profiling. By extraction of the concentration dependent effective... (Read more)
- 496. J. Appl. Phys. 99, 064501 (2006) , “Effects of x-ray irradiation on polycrystalline silicon, thin-film transistors”, Yixin Li, Larry E. Antonuk, Youcef El-Mohri, Qihua Zhao, Hong Du, Amit Sawant, and Yi WangThe effects of x-ray irradiation on the transfer and noise characteristics of excimer-laser-annealed polycrystalline silicon (poly-Si) thin-film transistors (TFTs) have been examined at dose levels up to 1000 Gy. Parameters including mobility, threshold voltage, subthreshold swing, and leakage... (Read more)
- 497. J. Appl. Phys. 99, 063709 (2006) , “Intrinsic exciton transitions in high-quality ZnO thin films grown by plasma-enhanced molecular-beam epitaxy on sapphire substrates”, X. Q. Zhang, Z. G. Yao, S. H. Huang, Ikuo Suemune, and H. KumanoHigh-quality ZnO thin films have been grown by plasma-enhanced molecular-beam epitaxy on sapphire substrates. Free-exciton absorption and exciton-LO phonon absorption peaks are observed in the films at room temperature, indicating that the exciton states are stable even at room temperature. Three... (Read more)
- 498. J. Appl. Phys. 99, 063513 (2006) , “Superscrew dislocations in silicon carbide: Dissociation, aggregation, and formation”, Xianyun MaAn approach to precisely determine the magnitude and the sign of Burgers vectors of superscrew dislocations (including elementary screw dislocations and micropipes) in silicon carbide (SiC) single crystals has been developed. The histogram of Burgers vector values associated with micropipes follows... (Read more)
- 499. J. Appl. Phys. 99, 063509 (2006) , “On the origin of the Staebler-Wronski effect”, Thomas KrügerThe parametrization of our recently proposed model of the Staebler-Wronski effect (SWE) is improved, which leads to an even better agreement with the experimental photoconductivity of light-soaked a-Si:H. The numerical solution of the essential equation exhibits well the typical SWE behavior,... (Read more)
- 500. J. Appl. Phys. 99, 054507 (2006) , “Characterization of HfSiON gate dielectrics using monoenergetic positron beams”, A. Uedono, K. Ikeuchi, T. Otsuka, K. Shiraishi, K. Yamabe, S. Miyazaki, N. Umezawa, A. Hamid, T. Chikyow, T. Ohdaira M. Muramatsu, R. Suzuki, S. Inumiya, S. Kamiyama, Y. Akasaka, Y. Nara, and K. YamadaThe impact of nitridation on open volumes in thin HfSiOx films fabricated on Si substrates by atomic layer deposition was studied using monoenergetic positron beams. For HfSiOx, positrons were found to annihilate from the trapped state due to open volumes which... (Read more)
Showing
10, 25, 50, 100, 500, 1000, all papers per page.
Sort by:
last publication date,
older publication date,
last update date.
All papers (3399)
Updated at 2010-07-20 16:50:39
Updated at 2010-07-20 16:50:39
(view as: tree
,
cloud
)
1329 | untagged |
Materials
(111 tags)
Others(101 tags)
Technique
(46 tags)
Details
(591 tags)
Bond(35 tags)
Defect(interstitial)(18 tags)
Defect(vacancy)(15 tags)
Defect-type(19 tags)
Element(65 tags)
Energy(8 tags)
Isotope(56 tags)
Label(303 tags)
Sample(17 tags)
Spin(8 tags)
Symmetry(15 tags)